Manuel d’utilisation Xilinx 1.8

30 pages 0.34 mb
Télécharger

Aller à la page of 30

Summary
  • Xilinx 1.8 - page 1

    R LogiCORE™ IP Endpoint Bloc k Plus v1.8 f or PCI Express® Getting Star ted Guide UG343 J une 27, 2008 ...

  • Xilinx 1.8 - page 2

    www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Xilinx is disclosing this user gui de, manual, rel ease note, and/or sp ecification (the "Documentation") to y ou solely f or use in the de v elopment of designs to operate with Xilinx hardw are de vices. Y ou may not re produce, distribu te, repub lish, downlo ...

  • Xilinx 1.8 - page 3

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com UG343 June 27, 2008 Preface: About This Guide Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

  • Xilinx 1.8 - page 4

    www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Dual Core Example De sign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Dual Core Directory Structure and Fi le Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 <component name>/example ...

  • Xilinx 1.8 - page 5

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 5 UG343 June 27, 2008 R Pr eface About This Guide The Endpoint Block Plus for P CI Ex pres s® Getting Started Gu ide provides information about generating an Endpoint Block Plus for PCI Expr ess (PCIe ® ) cor e, customizing and simulating the cor e using the pr ovided example design, and ...

  • Xilinx 1.8 - page 6

    6 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Preface: About This Guide R Online Document The following li nking conventions are used in this document: Italic font Refer ences to other manuals See the User Guide for details. Emphasis in text If a wire is drawn so that it overlaps the pin of a symbol, the two nets ...

  • Xilinx 1.8 - page 7

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 7 UG343 June 27, 2008 R Chapter 1 Intr oduction The Endpoint Block Plus for PCI Express is a high-bandwidth , scalable, and r eliable serial interconnect building block for use with V irtex™-5 FPGA devices. This cor e supports V erilog® and VHDL. The example design described in thi s gui ...

  • Xilinx 1.8 - page 8

    8 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 1: Introd uction R performance, pipelined FPGA designs using Xilinx implementation softwar e and User Constraints Files (U CF) is recommended. Additional Core Resour ces For detailed informati on and updates about the cor e, see the fol lowing documents, availa ...

  • Xilinx 1.8 - page 9

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 9 UG343 June 27, 2008 Feedbac k R Document For comments or suggestions about this do cument, please submit a W ebCase from www .xilinx.com/support . Be sure to include the following information: • Document title • Document number • Page number(s) to which your comments r efer • Expl ...

  • Xilinx 1.8 - page 10

    10 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 1: Introd uction R ...

  • Xilinx 1.8 - page 11

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 11 UG343 June 27, 2008 R Chapter 2 Licensing the Cor e This chapter provided licensing options for th e E ndpoint Block Plus for PCI Expr ess cor e, which you must do bef ore using the core in your designs. The cor e is pr ovided under the terms of the Xilinx LogiCORE Site License Agre emen ...

  • Xilinx 1.8 - page 12

    12 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 2: Licensing the Core R Obtaining Y our License Simulatio n Only Eva luation License The Simulation Only Evalua tion license is pr ovided with the CORE Generator system and requir es no license file. Obtaining a Full License T o obtain a Full license, you must ...

  • Xilinx 1.8 - page 13

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 13 UG343 June 27, 2008 R Chapter 3 Quickstart Example Design This chapter provides an overview of the Endpoi nt Block Plus for PCI Ex press example design (both single and dual cor e) and instructio ns for generating the co re. It also includes information about simulati ng and implementing ...

  • Xilinx 1.8 - page 14

    14 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Figure 3-1: Simula tion Example Design Bloc k Diagram Test Program Endpoint DUT for PCI Express PCI Expr ess Fabric Endpoint Core for PCI Expr ess PIO Design dsport usrapp_tx usrapp_com usrapp_r x Output Logs Downstream Port Mod ...

  • Xilinx 1.8 - page 15

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 15 UG343 June 27, 2008 Overvie w R Implementation Design Ov er vie w The implementation design consists of a simple PIO example that can accept r ead and write transactions and respond to requests, as illustrated in Figure 3-2 . Sour ce code for the example is provided with the core. For mo ...

  • Xilinx 1.8 - page 16

    16 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Generating the Core T o generate a core using the default values in the CORE Generator Graphical User Interface (GUI), do the following: 1. Start the CORE Generator . For help starting and using the CORE Ge nerator , see the Xil ...

  • Xilinx 1.8 - page 17

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 17 UG343 June 27, 2008 Generating the Core R 4. Set the project options: From the Part tab, select the following options: • Family : V irtex5 • Device : xc5vlx50t • Package : ff1 1 36 • Speed Grade : -1 Note : If an unsupported silicon devi ce is sele cted, the core is dimmed (unava ...

  • Xilinx 1.8 - page 18

    18 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Sim ulating the Example Design The example design pr ovides a quick way to si mulate and observe the behavior of the cor e. The simulation environment pr ovided with th e Block Plus cor e performs simple memory access tests on t ...

  • Xilinx 1.8 - page 19

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 19 UG343 June 27, 2008 Implementing th e Example Design R 2. Run the script that corresponds to your si mulation tool using one of the following: • VCS : simulate_vcs.sh • Cadence IUS : simulate_ncsim.sh • ModelSim : vsim -do simulate_mti.do Implementing the Example Design After gener ...

  • Xilinx 1.8 - page 20

    20 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R • routed.sdf T iming model Sta ndard Delay File. • mapped.mrp Xilinx map report. • routed.par Xilinx place and route r eport. • routed.twr Xilinx timing analysis report. Th e sc ri pt f ile sta rt s fro m an ED IF/ NG C ...

  • Xilinx 1.8 - page 21

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 21 UG343 June 27, 2008 Directory Structure and File Conten ts R <project director y> The project dir ectory contains all the CORE Generator project files . <project director y>/<component name> The component name directory contains the re lease notes r eadme file pr ovided ...

  • Xilinx 1.8 - page 22

    22 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R <component name>/e xample_design The example design dir ectory contains the ex ample design files pr ovided with the cor e. <component name>/implement The implement directory contains the cor e implementation script ...

  • Xilinx 1.8 - page 23

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 23 UG343 June 27, 2008 Directory Structure and File Conten ts R implement/results The results dir ectory is created by the implem ent script, after which the implement script resul ts are placed in the r esults dir ectory . <component name>/simulation The simulation directory contai n ...

  • Xilinx 1.8 - page 24

    24 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R simulation/dspor t The dsport dire ctory contains the data stre am simulation scripts provided with the core. simulation/functional The functional directory contains functional simulation scripts pr ovided with the core. T able ...

  • Xilinx 1.8 - page 25

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 25 UG343 June 27, 2008 Dual Core Example Design R simulation/tests The tests dir ectory contains test definiti ons for the example te st bench. Dual Core Example Design The dual core example design can be used as a starting point for desi gns with multiple V irtex-5 FPGA PCI Expr ess blocks ...

  • Xilinx 1.8 - page 26

    26 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R Dual Core Director y Structure and File Contents W h e n g e n e r a t i n g t h e B l o c k P l u s c o r e w i t h the V irtex-5 FX70T -FF1 136 (XC5VFX70T -FF1 136) FPGA, the PIO example design source files and s cripts are ge ...

  • Xilinx 1.8 - page 27

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 27 UG343 June 27, 2008 Dual Core Example Design R <component name>/e xample_design The example design dir ectory includes the du al core example design ucf, which varies based on the device selected . e xample_design/dual_core The dual core dir ectory contains the top-leve l and wrapp ...

  • Xilinx 1.8 - page 28

    28 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Chapter 3: Quicks tart Example Design R simulation/functional The functional directory contains the dual cor e example design simulation scripts. <component name>/implement The implement directory contains the dual co re example design implementation script file ...

  • Xilinx 1.8 - page 29

    Endpoint Bloc k Plus v1. 8 for PCI Express www .xilinx.com 29 UG343 June 27, 2008 R Appendix Additional Design Considerations P acka ge Constraints This appendix describes design consi derations specifi c to the Endpoint Block P lus for PCIe core. Ta b l e A - 1 lists the smallest supported devic e an d interface combinations for the Block Plus cor ...

  • Xilinx 1.8 - page 30

    30 www .xilinx.com Endp oint Bloc k Plus v1.8 for PCI Express UG343 June 27, 2008 Appendix Appendix: Additional Design Considera tions R ...

Fabricant Xilinx Catégorie Medical Alarms

Les documents que nous recevons du fabricant de l'appareilXilinx 1.8 peuvent être divisés en plusieurs groupes. Ceux-ci sont, entre autres:
- dessins techniques Xilinx
- manuels d’utilisations 1.8
- fiches produit Xilinx
- dépliants
- ou étiquettes-énergie Xilinx 1.8
Tous sont importants, mais les informations les plus importantes du point de vue de l'utilisation de l'appareil se trouvent dans le manuel d’utilisation Xilinx 1.8.

Un groupe de documents appelé manuels d’utilisation est également divisé en types plus spécifiques, tels que: Manuels d’installation Xilinx 1.8, manuels d’entretien, brefs manuels ou manuels de l’utilisateur Xilinx 1.8. Selon vos besoins, vous devriez chercher le document dont vous avez besoin. Sur notre site, vous pouvez voir le manuel le plus populaire d’utilisation du produit Xilinx 1.8.

Manuels d’utilsiation similaires

Manuel d’utilisation complet de l’appareil Xilinx 1.8, quelle devrait-elle être?
Le manuel d’utilisation, également appelé le mode d’emploi, ou tout simplement le manuel, est un document technique destiné à aider à utiliser Xilinx 1.8 par les utilisateurs. Des manuels sont généralement écrits par un rédacteur technique, mais dans un langage accessible à tous les utilisateurs Xilinx 1.8.

Le manuel d’utilisation complet Xilinx, devrait inclure plusieurs éléments de base. Certains d'entre eux sont moins importants, tels que: la couverture / page de titre ou pages d'auteur. Cependant, la partie restante, devrait nous fournir des informations importantes du point de vue de l'utilisateur.

1. Introduction et des conseils sur la façon d'utiliser le manuel Xilinx 1.8 - Au début de chaque manuel, nous devrions trouver des indices sur la façon d'utiliser le document. Il doit contenir des informations sur l'emplacement de la table des matières Xilinx 1.8, FAQ ou des problèmes les plus fréquents - les points qui sont les plus souvent recherchés par les utilisateurs de chaque manuel
2. Table des matières - index de tous les conseils pour lXilinx 1.8 qui peuvent être trouvés dans le document courant
3. Conseils sur la façon d'utiliser les fonctions de base de l’appareil Xilinx 1.8 - qui devraient nous aider dans les premières étapes lors de l'utilisation Xilinx 1.8
4. Troubleshooting - séquence systématique des activités qui nous aideront à diagnostiquer et ensuite résoudre les principaux problèmes de Xilinx 1.8
5. FAQ - questions fréquemment posées
6. Détails du contact Informations sur l'endroit où chercher le contact avec le fabricant / service Xilinx 1.8 dans un pays donné, si le problème ne peut être résolu par nous-mêmes.

Avez-vous une question à propos de Xilinx 1.8?

Utiliser le formulaire ci-dessous

Si vous n’avez pas résolu votre problème avec Xilinx 1.8, avec l'aide du manuel que vous avez trouvé, posez une question en utilisant le formulaire ci-dessous. Si un utilisateur a eu un problème similaire avec Xilinx 1.8 il est probable qu’il a envie de partager la façon de le résoudre.

Réécrire le texte de l'image

Commentaires (0)