Manual Fujitsu Fujitsu SPARC64 V

255 pages 2.55 mb
Download

Go to site of 255

Summary
  • Fujitsu Fujitsu SPARC64 V - page 1

    Fujitsu Limited 4-1-1 Kamik odan ak a Nahah ar a-k u, Ka was aki, 211 -858 8 J apan SP ARC JPS1 Implementation Supplement: Fujitsu SP ARC64 V Fujitsu Limited Release 1.0, 1 July 2002 P ar t No. 806-675 5-1.0 ...

  • Fujitsu Fujitsu SPARC64 V - page 2

    Release 1. 0, 1 J uly 2002 F . Chapte r 2 Copyright  2002 Sun Microsystems, Inc., 901 San Antonio Road, Palo Alto, California 94303 U.S.A. All rights reserved. Portions of this document ar e protected by copyright  1994 SP ARC International, Inc. This pr oduct or document is protected by copyright and distributed under lic enses restricting i ...

  • Fujitsu Fujitsu SPARC64 V - page 3

    3 SP ARC JPS1 Implementati on Supplement: Fuj itsu SPA RC64 V • Re lease 1.0, 1 J uly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 4

    i F .CHAPTER Contents 1. Ov e r v i e w 1 Navigating the S P ARC64 V Implementation S upplement 1 Fonts and Notatio nal Conventions 1 The SP ARC64 V processor 2 Component Ove rview 4 Instruction Control Unit (IU) 6 Execution Unit (EU) 6 Stor age Uni t (SU) 7 Secondar y Cache and External A ccess Unit (SXU) 8 2. De f i n i t i o n s 9 3. Archite ctu ...

  • Fujitsu Fujitsu SPARC64 V - page 5

    ii SP ARC JPS1 Implementati on Supplement: Fuj itsu SPA RC64 V • Re lease 1.0, 1 J uly 2002 Floating-Point Defer red-T rap Queue (FQ) 24 IU Defe rred -T rap Q ueue 24 6. Ins tru c tions 2 5 Instruction Execution 25 Data Prefetch 25 Instruction Pr efetch 26 Syncing Instructions 27 Instru cti o n Fo rmat s a n d Fie lds 28 Inst ruct ion Ca tego ri ...

  • Fujitsu Fujitsu SPARC64 V - page 6

    Release 1. 0, 1 J uly 2002 F . Chapter Contents ii i SP ARC JPS1 Implementation-D ependent T raps 39 8. Me mo r y M od e l s 41 Overview 42 SP ARC V9 M em ory Mo del 42 Mode C ontrol 42 Synchronizing Instruction and Data Me mo ry 42 A. Instruction De finition s: SP ARC64 V Extensi ons 45 Block Load and Stor e Instructions (VIS I) 47 Call and Link 4 ...

  • Fujitsu Fujitsu SPARC64 V - page 7

    iv SP ARC JPS1 Implemen tation Suppl ement: Fujitsu SPARC64 V • Release 1 .0, 1 July 200 2 D. Form al S pe cifi c atio n o f t he M emo ry M od els 81 E. Opc ode M ap s 8 3 F . Memory Mana gement Unit 85 V irtual Add res s T r anslati on 85 T ranslati on T able Entr y (TTE) 86 TSB Or ganization 88 TSB Pointer Formatio n 88 Fau lts and T raps 89 R ...

  • Fujitsu Fujitsu SPARC64 V - page 8

    Release 1. 0, 1 J uly 2002 F . Cha pter Con tents v Level- 1 Dat a Ca c he (L1D C a ch e) 12 7 Level-2 Unified Cache (L 2 Cache) 127 Cache Coher ency Proto cols 128 Cache Contr ol/Status Instructions 12 8 Flush L evel-1 Instruct ion Ca che ( ASI_ F LU SH_L 1I ) 129 Level-2 Cache Control Register (ASI_L2_CTRL) 130 L2 Diagnostic s T ag Read (ASI_L 2_ ...

  • Fujitsu Fujitsu SPARC64 V - page 9

    vi SP ARC JPS1 Implemen tation Suppl ement: Fujitsu SPARC64 V • Release 1 .0, 1 July 200 2 err or_state T ransition Err or 150 Urgent Er ror 150 Restrainable Error 152 Ac t i o n a n d E r ro r C o n t ro l 15 3 Registers Related to E r ror Handling 1 53 Summa ry of Actio ns Up on Er ror D etecti o n 154 Extent of Automatic Sour ce Data Corr ecti ...

  • Fujitsu Fujitsu SPARC64 V - page 10

    Release 1. 0, 1 J uly 2002 F . Chapter Contents vi i TLB Err or Handling 1 95 Handling of TLB En try Err ors 195 Automatic W ay Reduction of sTLB 196 Handling of Extende d UP A Bus Interface Err or 197 Handlin g of Exte nded UP A Addr ess Bus Err or 197 Handlin g of Extende d UP A Da ta Bus Err or 197 Q. Perform anc e Ins trum ent atio n 20 1 Perfo ...

  • Fujitsu Fujitsu SPARC64 V - page 11

    viii SP ARC JPS1 Implemen tation Su pplement: Fujitsu SPARC64 V • R ele a se 1. 0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 12

    1 F .CHAPTER 1 Overview 1.1 Navigating the SP ARC64 V Implementation Supplement W e suggest tha t you approach thi s Implem entat ion Supple ment SP ARC Joint Prog ramming Specification as fol lows. 1. Familiarize yourself with the SP ARC64 V processor and its components by reading these sections: ■ The SP ARC64 V pr ocessor on page 2 ■ Compone ...

  • Fujitsu Fujitsu SPARC64 V - page 13

    2 SP ARC JPS1 Implementati on Supplement: Fuj itsu SPA RC64 V • Release 1. 0, 1 J uly 2002 1.3 The SP ARC64 V pr ocessor The SP AR C64 V processor is a hig h-performance, high-reliability , and high-integrity pr ocessor that fully implemen ts the in struction set arch itectur e that conforms to SP ARC V9, as described in JPS1 Commonal ity . In ad ...

  • Fujitsu Fujitsu SPARC64 V - page 14

    Release 1. 0, 1 J uly 2002 F . Chapter 1 Overvie w 3 1. Advanced RAS features for caches ■ Str ong cache error pr otection: ■ ECC p rotecti on for D1 (Da ta level 1 ) cache dat a, U2 (u nified level 2) cac he data, and the U2 cache tag. ■ Parity pr otection for I1 (Ins truction level 1) cache data. ■ Parity pr otection a nd duplic ation for ...

  • Fujitsu Fujitsu SPARC64 V - page 15

    4 SP ARC JPS1 Implementati on Supplement: Fuj itsu SPA RC64 V • Release 1. 0, 1 J uly 2002 ■ Asynchr onous dat a error ( ADE ) trap for add itional err ors: ■ Rel axe d in st ruct io n end met hod (prec ise , ret rya ble , no t retr yab le) for the async_data_error exception to indicate how the instruction should end; depends on th e executin ...

  • Fujitsu Fujitsu SPARC64 V - page 16

    Release 1. 0, 1 J uly 2002 F . Chapter 1 Overvie w 5 FIGURE 1-1 SP ARC64 V Major Units Extended UP A Bus UP A interface logic Mov eIn buff er Mov eOut buff er SX- Uni t U2$ U2$ dat a S-Unit int erf ace tag 2M 4-way ALUs FLA EXA EXB FLB EAGA EAGB ALU Output Input Registers Registers SX order q ueue Store queue SX in terf ace D-TLB tag data 2048 + 32 ...

  • Fujitsu Fujitsu SPARC64 V - page 17

    6 SP ARC JPS1 Implementati on Supplement: Fuj itsu SPA RC64 V • Release 1. 0, 1 J uly 2002 1.3.2 Instr uction Contr o l Unit (IU) The IU p redict s the instr uction ex ecution path , fetches ins tructions on the pr edicted path, distribut es the fet ched i nstr uctions to a ppr opriat e r eserv ation stati ons, an d dispat ches the instructions t ...

  • Fujitsu Fujitsu SPARC64 V - page 18

    Release 1. 0, 1 J uly 2002 F . Chapter 1 Overvie w 7 1.3.4 Storage Unit (SU) The SU handles a ll sourcing and sinking of data for load and store instructions. TA B L E 1 - 3 de scribes the SU major blocks. Interface r egisters Input/output r egisters to other uni ts. T wo int e ger execution p ipelines (EXA, EXB) 64-bit ALU a nd shifter s. T wo flo ...

  • Fujitsu Fujitsu SPARC64 V - page 19

    8 SP ARC JPS1 Implementati on Supplement: Fuj itsu SPA RC64 V • Release 1. 0, 1 J uly 2002 1.3.5 Secondary Cache and External Access Unit ( SXU) The SX U contr ols the operation of un ified level-2 caches and t he external d ata access interfac e (extended U P A i nterface). TA B L E 1 - 4 describes t he major block s of the SXU. TA B L E 1 - 4 S ...

  • Fujitsu Fujitsu SPARC64 V - page 20

    9 F .CHAPTER 2 Definitions This ch apter def ines concept s unique to the S P ARC6 4 V, the F ujitsu im plementa tion of SP ARC JPS1. For definition of te rms that are comm on to all implementations, please refe r to Chapter 2 of C ommonali ty . commit ted T erm appl ied to an in struction when it has comp leted withou t error and all prior instr u ...

  • Fujitsu Fujitsu SPARC64 V - page 21

    10 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 instruction reti red T erm appl ied to an in struction when all mac hine resources (seri al numbe rs, r ena me d registers) have been reclaimed and ar e availabl e for use by oth er instructi ons. An in struction c an only be retired after it has bee n commi ...

  • Fujitsu Fujitsu SPARC64 V - page 22

    Release 1. 0, 1 J uly 2002 F . Chapter 2 Definiti ons 11 in pa rallel. When inst ructions are committed , res ults in r enamed reg isters a re posted to the ar chitected r egisters in th e pr oper sequ ence to pr oduce the corr ect pr ogram re sults. scan A me thod us ed to in itializ e all of the mac hine st ate wit hin a ch ip. In a chip t hat ha ...

  • Fujitsu Fujitsu SPARC64 V - page 23

    12 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 24

    13 F .CHAPTER 3 Ar chitectural Overvi ew Please r efer to C hapter 3 in the Commonalit y sectio n of SP ARC Joint Pro gramming Specificatio n . ...

  • Fujitsu Fujitsu SPARC64 V - page 25

    14 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 26

    15 F .CHAPTER 4 Data Formats Plea se r efer to Chap ter 4, Data Form ats in Commonality . ...

  • Fujitsu Fujitsu SPARC64 V - page 27

    16 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 28

    17 F .CHAPTER 5 Registe rs The SP ARC64 V processor includes two ty pes of re gisters: general-purpose — tha t is, working, data, c ontro l/status — and A SI r egist ers. The SP ARC V9 ar chitectur e also def ines two implement ation-depen d ent r egisters: the IU Def erred -T rap Queue and the Floating-Point Def erre d-T rap Qu eue ( FQ ); SP ...

  • Fujitsu Fujitsu SPARC64 V - page 29

    18 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 5.1.7 Floating-Point Stat e Register (FSR) Plea se r efer to S ection 5.1. 7 of Commonality for the description of FSR . The section s below des cribe SP ARC64 V-specific feat ures of the FSR reg i s t e r . FSR_nonstandard_fp (NS) SP ARC V9 de fines the FSR ...

  • Fujitsu Fujitsu SPARC64 V - page 30

    Release 1. 0, 1 J uly 2002 F . Chapter 5 Register s 19 else i f (<F Pop commits wit h IE EE_754_ exce ption >) <set o ne bi t in the CE XC f ield as sup plie d by FPU>; else i f (<F Pop commits wit h unfinished_FP op er ro r>) <no chang e>; else i f (<F Pop commits wit h unimplemented_ FP op error>) <no chang e>; ...

  • Fujitsu Fujitsu SPARC64 V - page 31

    20 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 Note – Spurio us set ting of the PSTATE .RED bit by priv ileged softwar e should not be performed, sin ce it will take the SP ARC64 V into RED_state wi thou t the requir ed se quencing. 5.2.9 V e rsion (VER) Register TA B L E 5 - 1 sh ows the valu es for t ...

  • Fujitsu Fujitsu SPARC64 V - page 32

    Release 1. 0, 1 J uly 2002 F . Chapter 5 Register s 21 The Pe rformance Contr ol Register in SP ARC64 V is il lustrated in FIGUR E 5-1 and described in TA B L E 5 - 2 . FIGURE 5-1 SP ARC64 V Perfo rmance Control Reg ister (PCR) (ASR 16) TA B L E 5 - 2 PCR Bit Descrip tion Bit Field Description 47:32 OVF Overfl ow Cle ar/Se t/Stat us. Used to rea d ...

  • Fujitsu Fujitsu SPARC64 V - page 33

    22 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 Performance Instrumentation Counter (PIC) Register (ASR 17) The PIC reg ister is implemented as desc ribed in SP ARC JPS1 Commonality . Four PIC s ar e implem ented in SP ARC64 V. Eac h is acce ssed thr ough ASR 17 , using PCR.SC as a select fie ld. Read /wr ...

  • Fujitsu Fujitsu SPARC64 V - page 34

    Release 1. 0, 1 J uly 2002 F . Chapter 5 Register s 23 Af te r a p ow er- on res et ( POR ), all fie lds of DCUCR , including implementation- depen dent field s, ar e set to 0. Af ter a WDR , XIR , or SIR reset, a ll fields of DCUCR , incl udi ng imp lemen tat ion -d epen den t fie lds, are se t to 0. The Data Cache Unit Contr ol Register is illus ...

  • Fujitsu Fujitsu SPARC64 V - page 35

    24 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 Data W a tchpoint Regist ers No imp leme ntati on-d epend ent fe ature of SP ARC64 V reduce s the reliabi lity of d ata watchp oints (i mpl. dep . #244) . SP ARC64 V employs conservative c heck of P A/V A watchpoint over partial stor e instructi on. See Sect ...

  • Fujitsu Fujitsu SPARC64 V - page 36

    25 F .CHAPTER 6 Instru ctions This chapt er presents SP ARC64 V impl e mentati on-spe cifi c instruct ion deta ils a nd the pr ocessor pipeline information in the se subsection s: ■ Instruct ion Execution on page 25 ■ Instr uc tio n Form ats a nd Fi eld s on page 28 ■ Instruc tion Cate gories on page 29 ■ Processo r Pip elin e on page 31 Fo ...

  • Fujitsu Fujitsu SPARC64 V - page 37

    26 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 1. If a memory operation y r esolves to a volatile memory addr ess ( location[y] ), SP ARC64 V w ill not speculatively prefetch location[y] for any reason; location[y] will be fe tched or stor ed to only wh en operation y is co mmitable . 2. If a memory oper ...

  • Fujitsu Fujitsu SPARC64 V - page 38

    Release 1. 0, 1 J uly 2002 F . Chapter 6 Instructions 27 6.1.3 Syncing Instr uctions SP ARC64 V has in structions, call ed syncing inst ructions, t hat stop executi on for the number of cycles it t akes to clea r the pipel ine and to synchr onize the pr ocessor . Ther e ar e two types of synchr onization, pr e and post . A pr esyncing instr uction ...

  • Fujitsu Fujitsu SPARC64 V - page 39

    28 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 6.2 Instr uction Formats and Fields Instruction s are encoded in five ma jor 32-bit formats and seve ral minor form ats. Plea se r efer to Sec tion 6. 2 of Commonalit y for illustrations of four m ajor formats. FIG UR E 6-1 illustr ates Fo rmat 5, unique to ...

  • Fujitsu Fujitsu SPARC64 V - page 40

    Release 1. 0, 1 J uly 2002 F . Chapter 6 Instructions 29 Since size = 00 is n ot IMPD EP2B and sin ce size = 1 1 assu med quad operatio n s bu t is not implem ented in SP ARC64 V, the instruct ion with size = 00 or 1 1 generat es an illegal_instruction exception in SP ARC6 4 V. 6.3 Instr uction Categories SP ARC V9 instruc tions comprise the ca teg ...

  • Fujitsu Fujitsu SPARC64 V - page 41

    30 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 SP ARC64 V impleme nts JMPL and CALL re turn pre diction har dware in a form of special s tack, call ed the Return Addr ess Stack (RAS ). Whenever a CALL or JMPL that writes t o %o7 ( r [15] ) occurs, SP ARC64 V “ pushe s ” the r eturn addr ess (PC+8) on ...

  • Fujitsu Fujitsu SPARC64 V - page 42

    Release 1. 0, 1 J uly 2002 F . Chapter 6 Instructions 31 6.4 Pr ocessor P ipeline The pipeline of SP ARC64 V consists of fiftee n stages, shown in FIGURE 6-2. Each stage is r eferenc ed by one or two lett ers as follows: 6.4.1 Instr uction Fetch Stages ■ IA (Instr uction Addr ess genera tion) — Calcula te fetch ta rget addr ess. ■ IT (Instr u ...

  • Fujitsu Fujitsu SPARC64 V - page 43

    32 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 FIGURE 6-2 SP ARC64 V Pipeline IA IT IM IB IR D P B X Ts Ms Bs Rs U W E Ps BRHIS iTL B Instruction Buff er RSF A RSFB RSEB RSEA RSA RSB R L1I dTLB L1D FXB EXB FXA EXA EA GA EAGB IWR GUB GPR FUB FPR PC nPC ccr fs r IF EAG LB LR RR RR RR RR CSE ...

  • Fujitsu Fujitsu SPARC64 V - page 44

    Release 1. 0, 1 J uly 2002 F . Chapter 6 Instructions 33 6.4.2 Issue Stages ■ E (Entry) — Instruct ions are passed from fetch st ages. ■ D (Decode) — Assig n resour ces and di spatch t o r eserv ation stat ion (RS.) SP ARC64 V is an out -of-or der execut ion CPU. It has six ex ecution un its (two of arithmetic and l ogic unit, two of floati ...

  • Fujitsu Fujitsu SPARC64 V - page 45

    34 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 Execution Stages for Cache Access Memory ac cess req uests ar e passed to the cache access pipeline afte r the target address is calcul ated. C ache acce ss stages w ork the same way as instru ction fetc h stages , exc ept fo r the h andli ng of bran ch pred ...

  • Fujitsu Fujitsu SPARC64 V - page 46

    35 F .CHAPTER 7 Tr a p s Plea se r efer to Chap ter 7 o f Commonality . Section numbe rs in this chap ter corr espond to those in C hapter 7 of Commonal ity . This chapte r adds SP ARC64 V-specific information in the following sections : ■ Proce ssor States, Normal and Special T raps on page 35 ■ RED_st ate on page 36 ■ error_sta te on page 3 ...

  • Fujitsu Fujitsu SPARC64 V - page 47

    36 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 7.1.1 RED_state R E D _ s t a t e Tr a p Ta b l e The RED_st ate trap vector is located at an im pleme ntation-dep endent add ress re fe rre d t o a s RS TVad dr . The value of RSTV addr i s a c o n s t a n t w i t h i n e a c h impleme ntation; i n SP ARC6 ...

  • Fujitsu Fujitsu SPARC64 V - page 48

    Release 1. 0, 1 J uly 2002 F . Chapter 7 T r aps 37 Although th e standard beh avior of the CPU upon an en try into error _state is to interna lly gener ate a w atchdog_reset (WDR), the CPU option ally stays halte d upon an entry to error_state dependin g on a se tting i n the OPS R re gist er (imp l. de p #40, #254). 7.2 T rap Categories Plea se r ...

  • Fujitsu Fujitsu SPARC64 V - page 49

    38 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 7.3 T rap Contr ol Plea se r efer to Sec tion 7. 3 of Commonalit y . 7.3.1 PIL Contr ol SP ARC64 V re ceives exter nal int errupts fr om the UP A interc onnect. The y cause an interrupt_vector_tr ap ( TT =6 0 16 ). Th e interr upt vector trap hand ler rea ds ...

  • Fujitsu Fujitsu SPARC64 V - page 50

    Release 1. 0, 1 J uly 2002 F . Chapter 7 T r aps 39 7.4.4 Details of Supported T raps Plea se r efer to S ection 7.4. 4 in Commonality . SP ARC64 V Implementation-Specific T raps SP ARC64 V supports t he followin g impleme ntation-specifi c trap type : ■ async_data_error 7.5 T rap Processing Plea se r efer to Sec tion 7. 5 of Commonalit y . 7.6 E ...

  • Fujitsu Fujitsu SPARC64 V - page 51

    40 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ■ Uncorr ectable errors in the interna l ar chitectur e regist ers (general r egisters – gr , floating-point r e gisters – fr , ASR , ASI registers) ■ Uncorr ectable err ors in the cor e pipeline ■ System dat a corruption ■ W atch do g tim eou t ...

  • Fujitsu Fujitsu SPARC64 V - page 52

    41 F .CHAPTER 8 Memory Models The SP ARC V9 ar chitectur e is a model that spec ifies the behavior obs ervable by softwar e on SP ARC V9 s ystems. Ther efore, access to mem ory can be implem ented in any manner , as long as t he behavior observed by s oftwar e conforms to that of the models described in Chapter 8 of Commonality and defined in Appen ...

  • Fujitsu Fujitsu SPARC64 V - page 53

    42 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 8.1 Overview Note – Th e wor ds “ hardware memory model ” denote th e underlyi ng har dwar e memory model s as differ entiated fr om the “ SP ARC V9 me mory mode l , ” which is the memory model the progr ammer select s in PSTAT E.MM . SP ARC64 V su ...

  • Fujitsu Fujitsu SPARC64 V - page 54

    Release 1. 0, 1 J uly 2002 F . Chapter 8 Memor y Models 43 corr esponding locations in all instructi on caches; r e fer ences to any inst ruction cache cause corr esponding modified data to be flushed and corresponding unmodified data to be invalidated fr om all data caches. The flush operation is still opera tive in SP ARC64 V, howev er . Since t ...

  • Fujitsu Fujitsu SPARC64 V - page 55

    44 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 56

    F .APPENDIX 45 A Instr uction Defi nitions: SP ARC64 V Extensions This appe ndix describes t he SP A RC64 V-s pecific im plementation of the instruct ions in Appendix A of Commonali ty . If an instruction is not describe d in t his appendix, then no S P ARC6 4 V implementat ion-dependen cy applies . ■ See T ABLE A-1 of Common ality for the locati ...

  • Fujitsu Fujitsu SPARC64 V - page 57

    46 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 4. A description of the featur es, r estrictions, and exception-causing conditions. 5. A list of e xceptions tha t can occur as a conseque nce of atte mpting to execute the inst ruc tion( s). Ex cept ions d ue to a n instruction_access_e rror , instruction_a ...

  • Fujitsu Fujitsu SPARC64 V - page 58

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 47 A.4 Block Load and Stor e Instr uctions (VIS I) The followin g notes summariz e behavior of b lock load/stor e instr uctions in SP ARC64 V. 1. Block load and store operation s are not atomic, in tha t they are internally decompose d into eight indepen dent, ...

  • Fujitsu Fujitsu SPARC64 V - page 59

    48 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 4. The block stor e with commit instr uction always store s the operand in main storage and inv alidates the l ine in the L1D cache if it is pre sent. The inva lidation is per formed through an S _INV_ REQ transaction thro ugh UP A by the sys tem contr oller ...

  • Fujitsu Fujitsu SPARC64 V - page 60

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 49 A.12 Call and Link SP ARC64 V cle ars the u pper 32 bits of th e PC va lue in r[15 ] when PSTATE.AM is set (impl. dep. #125). T he value wri tten into r[15] is visible to the instr uction in th e delay slot. SP ARC64 V has a special h ardwar e table, called ...

  • Fujitsu Fujitsu SPARC64 V - page 61

    50 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 A.24.1 Floating -Point Multiply-Add/Subtract SP ARC64 V uses I MPDEP2B opcode space to encode the Floating- Point Multiply Add/Subtract ins tructions. † 11 is reserved for quad. Format (5 ) Opcode V ariation Size † Operation FMADDs 00 01 M ultiply -Add S ...

  • Fujitsu Fujitsu SPARC64 V - page 62

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 51 Descri ption The Floating-point Multi ply-Add instruction s multiply th e registe rs specified by the rs1 field ti mes the registe rs speci fied by the rs2 field, add that product to the registers specified b y the rs 3 field, the n write the result into th ...

  • Fujitsu Fujitsu SPARC64 V - page 63

    52 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 det ects any co ndit ions for an unfinished_FP op trap, the Floating- point Multip ly-Add/ Subtract instr uction genera tes the unfinished_FP op exception. In this case, none of rd , cexc , or aexc are mod ified. Detailed conte nts of cexc and aexc depending ...

  • Fujitsu Fujitsu SPARC64 V - page 64

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 53 Programming Note – The M ultiply Add/Subtract instructions a re e ncoded in the SP ARC V9 IMPDEP2 opcode sp ace, an d they are speci fic to the SP ARC64 V impl ementati on. They can not be used in any prog rams that will be executed on any other SP ARC V9 ...

  • Fujitsu Fujitsu SPARC64 V - page 65

    54 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 A.30 Load Quadwor d, Atomic [Physical] The Load Qu adword A SIs in this s ection are specific to SP ARC64 V, as an e xtension to SP ARC JPS1 . Format (3 ) LDDA Descri ption ASIs 34 16 and 3C 16 ar e used wit h the LDDA instruct ion to atomica lly read a 128- ...

  • Fujitsu Fujitsu SPARC64 V - page 66

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 55 ■ TTE.NFO = 0 ■ TTE.CP = 1 ■ TTE.CV = 0 ■ TTE.E = 0 ■ TTE.P = 1 ■ TTE.W = 0 Note – TTE .IE depends on the endianness of the ASI. When the ASI is 034 16 , TTE.IE =0 ; TTE.I E = 1 whe n the AS I is 03C 16 . Ther efore, the atomic qua d load phys ...

  • Fujitsu Fujitsu SPARC64 V - page 67

    56 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 Descri ption The me mory barrier instruct ion, MEMBAR , has two complement ary functions: to expres s order con strai nts betw een me mory reference s and to provide e xpli cit c ontro l of memory-r eferen ce completion. Th e membar_mas k field in th e sugge ...

  • Fujitsu Fujitsu SPARC64 V - page 68

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 57 A.42 Partial Stor e (VIS I) Plea se r efer A. 42 in Commonal ity for general details. W atchpoint exceptions on p artial stor e instr uctions occur cons ervatively on SP ARC64 V . The DCUCR Data W a tchpoint masks ar e only checked for n onzer o value (watc ...

  • Fujitsu Fujitsu SPARC64 V - page 69

    58 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 TA B L E A - 7 describes prefetch variants implemented in SP ARC64 V. A.51 Read State Register In SP ARC64 V, an RD PCR instructio n will generate a privileged_action exception if PSTATE.PRIV =0 a n d P CR.PRIV =1 . I f PSTATE. PRIV =0 a n d PCR. PRIV =0 , R ...

  • Fujitsu Fujitsu SPARC64 V - page 70

    Release 1. 0, 1 J uly 2002 F . Chapter A Instruction Defi nitions: SP A RC64 V Extensions 59 A.70 W rite State Register In SP ARC64 V, a WRPC R instruction will cause a privileged_action exception if PSTATE.PRIV =0 a n d PCR.PRIV =1 . I f PSTATE .PRIV =0 a n d PCR. PRIV =0 , WRPCR causes a privileged_act ion except ion on ly wh en an att emp t is m ...

  • Fujitsu Fujitsu SPARC64 V - page 71

    60 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 72

    F .APPENDIX 61 B IEEE Std 754-1985 Requir em ents for SP ARC V9 The IEEE Std 754-1 985 floating-point standard contains a number of implementation depe nden cies . Please see App endix B of Commonality for choices for these imple mentation depen dencies, to ensur e that SP ARC V9 implementati ons ar e as consist ent as possible. Following is inform ...

  • Fujitsu Fujitsu SPARC64 V - page 73

    62 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 SP ARC64 V floating-point hardw are has i ts specific range of com putation. If either the val ues of i nput o peran ds or th e valu e of the interm edi ate result sh ows th at the computati on may not fall in the rang e that har dware pr ovides, SP ARC64 V ...

  • Fujitsu Fujitsu SPARC64 V - page 74

    Release 1.0, 1 J u ly 2002 F . Chapter B IEEE Std 754-1 985 Requirements f o r SP ARC V9 63 Implem entation No te – Detecting the exact b oundary conditions r equires a lar ge amount of har dware. SP ARC64 V detects approximate boundary condition s by calculating the exponent intermediate resu lt (the expone nt befor e r ounding) fr om input oper ...

  • Fujitsu Fujitsu SPARC64 V - page 75

    64 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 Pessimistic Zer o If a condition i n TA B L E B - 3 is true , SP ARC64 V genera tes the r esult as a pess imist ic zer o, meanin g that the r esult is a denorma lized mini mum or a zer o, depending on the rounding mode ( FSR.RD ). FMULs , FMUL d 1. One of th ...

  • Fujitsu Fujitsu SPARC64 V - page 76

    Release 1.0, 1 J u ly 2002 F . Chapter B IEEE Std 754-1 985 Requirements f o r SP ARC V9 65 Pessimistic Overflow If a condition i n TA B L E B - 4 is true , SP ARC64 V regar ds the operation as having an overflow condition. B.6.2 Operation Under FSR.NS = 1 When FSR.NS = 1 (nonst andard mode), SP ARC64 V zeroes all the in put denormalize d operands ...

  • Fujitsu Fujitsu SPARC64 V - page 77

    66 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 summarizes the behavi or of SP ARC6 4 V floating-point har dwar e depending on FSR.NS . Note – The re sult an d behavi or of SP ARC64 V of the sha ded colum n in the tab les T a ble B-5 and T able B-6 conform t o IEEE754-1985 s t andard. Note – Throughou ...

  • Fujitsu Fujitsu SPARC64 V - page 78

    Release 1.0, 1 J u ly 2002 F . Chapter B IEEE Std 754-1 985 Requirements f o r SP ARC V9 67 TA B L E B - 6 de scribe s how SP ARC64 V beh aves whe n FSR.NS = 1 (non stand ard mode). TA B L E B - 6 No narithmetic Operatio ns Under FSR.NS = 1 Operations op1= denorm op2= denorm U FM N XM D VM N VM Result FsTOd — Ye s — 1 —— NX 0 —— nx, a s ...

  • Fujitsu Fujitsu SPARC64 V - page 79

    68 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 80

    F .APPENDIX 69 C Implementation De pendencies This ap pendix summarizes implementation dependencies. In SP ARC V9 and SP ARC JPS1, the nota tion “ IMPL. DEP . # nn : ” iden tifies the defini tion of an implem entation dependen cy; the nota tion “ (impl. dep. # nn ) ” iden tifies a re fer ence to an imple ment ation depe nden cy . Thes e dep ...

  • Fujitsu Fujitsu SPARC64 V - page 81

    70 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 C.2 Har dwar e Characteristics Pleas e refer to Se cti on C.2 o f Commonality . C.3 Implem entatio n Dependen cy Categories Pleas e refer to Se cti on C.3 o f Commonality . C.4 List of Implementation Dependencies TA B L E C - 1 provide s a complet e list of ...

  • Fujitsu Fujitsu SPARC64 V - page 82

    Release 1. 0, 1 J uly 2002 F . Chapter C Implementati on Dependen cies 71 9 RDASR / WRASR privi l eged status See A.50 and A.70 in Commonality for detail s of implementation-dependent RDASR / WRASR in structions. — 10 – 12 Reserved. 13 VER . impl VER.impl =5 f o r t h e SP ARC64 V pr ocess or . 20 14 – 15 Reserved. — 16 IU deferred-trap que ...

  • Fujitsu Fujitsu SPARC64 V - page 83

    72 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 32 Deferred traps SP ARC64 V signals a deferred trap in a few of its severe error conditions. SP ARC64 V does not contain a deferr ed trap queue. 37, 149 33 T rap precision The re are no d eferred tra ps in SP ARC64 V ot he r t ha n t h e t r ap c au s e d b ...

  • Fujitsu Fujitsu SPARC64 V - page 84

    Release 1. 0, 1 J uly 2002 F . Chapter C Implementati on Dependen cies 73 42 FLUSH ins truction SP ARC64 V implements the FLUSH ins truction in ha rdware. — 43 Reserved. 44 Data access FPU trap The destination r egister(s) are unchanged if an access error occurs. — 45 – 46 Reserved. 47 RDASR See A.50, Read State Regist er , in Commonality for ...

  • Fujitsu Fujitsu SPARC64 V - page 85

    74 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 106 IMPDEP n in struction s SP ARC64 V uses the IMPDEP2 opcode for the Multi ply Add/Subtract instruct io n s. SP ARC64 V al so c on fo rm s t o Su n ’ s spec ification for VIS -1 and VIS-2. 49 107 Unimplem ented LDD trap SP ARC64 V implements LDD in h ard ...

  • Fujitsu Fujitsu SPARC64 V - page 86

    Release 1. 0, 1 J uly 2002 F . Chapter C Implementati on Dependen cies 75 11 9 Unim plemented values f or PSTATE.MM W r iting 11 2 into PSTATE.MM causes the machine to u se the TSO memory model. However , the e ncoding 1 1 2 should not be used, si nce futur e versions of SP ARC64 V may use this encoding f or a new memory model. 42 120 Coherence and ...

  • Fujitsu Fujitsu SPARC64 V - page 87

    76 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 206 SHUTDOWN in struction In priv ileged mod e the SHUTDOWN instr uction execut es as a NOP in SP ARC64 V . 58 207 PCR register bi ts 47:32, 26:17, and bit 3 SP ARC64 V uses these bi ts for the fol lowing purposes: • Bits 47:32 for set/clear/show status of ...

  • Fujitsu Fujitsu SPARC64 V - page 88

    Release 1. 0, 1 J uly 2002 F . Chapter C Implementati on Dependen cies 77 218 async_d ata_err or async_data_erro r trap is implemente d in SP ARC64 V , using tt =4 0 16 . See Append ix P for detail s. 39 219 Asynchronous Fault Address Register ( AFA R ) allocation SP ARC64 V implements two AF ARs: • VA = 0 0 16 f or an error occurring in D1 cache ...

  • Fujitsu Fujitsu SPARC64 V - page 89

    78 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 227 TSB number of entries SP ARC64 V supports a maximum of 16 million entries in the common TSB and a maximum of 32 m illion lines the Split TSB. 88 228 TSB_Ha sh supplied from TSB or context-ID register TSB_Hash is generated fr om the cont ext-ID r egister ...

  • Fujitsu Fujitsu SPARC64 V - page 90

    Release 1. 0, 1 J uly 2002 F . Chapter C Implementati on Dependen cies 79 240 DCU Cont rol Register bits 47:41 SP ARC64 V uses bit 41 for WEAK_SPCA , wh ich enable s/disabl es memo ry access in spec ulative pat hs. 23 241 Address Masking and DSFAR SP ARC64 V writes zer oes to the more signifi cant 32 bits of DSFAR . — 242 TLB lock bit In SP ARC64 ...

  • Fujitsu Fujitsu SPARC64 V - page 91

    80 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 252 DCUCR.DC (D ata Cache Enable) SP ARC64 V does not imp lement DCUCR.DC . 24 253 DCUCR.IC (Instruction Cache Enabl e) SP ARC64 V does not implement DCUCR.IC . 24 254 Means of e xiting error_sta te The s tandar d beh avior of a SP ARC6 4 V CPU up on en try ...

  • Fujitsu Fujitsu SPARC64 V - page 92

    F .APPENDIX 81 D Formal Specification of the M emory Models Pleas e refer to Appen dix D of Common ality . ...

  • Fujitsu Fujitsu SPARC64 V - page 93

    82 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 94

    F .APPENDIX 83 E Opcode Maps Please r efer to Appendix E in Commonality . TA B L E E - 1 lists th e opcode map for the SP ARC64 V IMPDE P2 in stru ction . TA B L E E - 1 IMPDEP2 (op = 2, op3 = 37 16 ) va r (instruction <8:7>) 00 01 10 11 size (instruction <6:5>) 00 (not used — r e served) 01 FMADDs FMSU Bs FNM ADDs FNMADDs 10 FMADDd F ...

  • Fujitsu Fujitsu SPARC64 V - page 95

    84 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ...

  • Fujitsu Fujitsu SPARC64 V - page 96

    F .APPENDIX 85 F Memory Management Unit The Me mory Ma nagement Unit ( MMU) architec ture of SP ARC64 V c onfo rms to the MMU ar chitectur e defined in Appendix F of Commonality bu t with some model depen d e ncy . See Appe ndix F in Commonality for the basi c definiti ons of the SP ARC64 V MMU. Section numb ers in this appendix corr espond to thos ...

  • Fujitsu Fujitsu SPARC64 V - page 97

    86 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 The micro-TLBs ar e coherent to main TLBs and are not visible to softwar e, with the excep tion of TLB multip le hit detec t ion. Har dware maint ains the cons istency between micro-TLBs and main TLBs. No other details on micr o-TLB are pr ov ided because so ...

  • Fujitsu Fujitsu SPARC64 V - page 98

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 87 The ph ysical addr ess lengt h to be passed to the UP A interfac e is 41 bits or 43 bits , as desi gnated in the ASI_UPA_CO NFIG.AM fiel d. When t he 41-bi t PA is specified in ASI_ UPA_ CONF IG.AM , the most signifi cant 2 bits of the CPU inte rnal phys ical address are discarded ...

  • Fujitsu Fujitsu SPARC64 V - page 99

    88 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 F .3.3 TSB Organization IMPL. D EP . # 227 : The maxim um number of en tries in a T SB is imple mentation dependen t in JPS1. See impl. dep. #22 8 for the limitat ion of TSB_ size in TSB re g is t e r s. SP ARC64 V supports a maximu m of 16 million line s in ...

  • Fujitsu Fujitsu SPARC64 V - page 100

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 89 8K_POINTER = TSB_Extension[63:1 4+N] 0 (VA[21+N:13] ⊕ TSB_Hash) 0000 64K_POINTER = TSB_Extension[63:14+N] 1 (VA[24+N:16] ⊕ TSB_Hash) 0000 V alue of TSB_Hash for b oth a shar ed TSB and a split TSB When 0 <= N <= 4, TSB_Ha sh = context_r egister[N+8:0] Otherwise, when 5 & ...

  • Fujitsu Fujitsu SPARC64 V - page 101

    90 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 ■ X1: The contents of the contex t field of the D-MMU T ag Access Registe r ar e undefined after a data_access_exception . ■ X2: I-SFS R is updated according to i ts update policy described in Section F .10.9 ■ X3: D-SFSR and D-SFA R are up dated accor ...

  • Fujitsu Fujitsu SPARC64 V - page 102

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 91 ■ An fDTLB entry parity err or is detected in a fDTLB lookup for an inst ruction operand acce ss. F .8 Reset, D isable, and RED _state Beha vior IMPL . DEP . #231 : T h e variability of the width of physical addr ess is implem entation dependent in JPS1, and if v ariable, the in ...

  • Fujitsu Fujitsu SPARC64 V - page 103

    92 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 F .10 Internal Registers and ASI operations F .10.1 Accessing MMU Registers IMPL. D EP . # 233 : Wh eth er th e TSB_Hash field is implem ented in I/D Primary/S econdary/Nucleu s TSB Exten sion Register is implementa tion dependent in JPS1. On SP ARC64 V, the ...

  • Fujitsu Fujitsu SPARC64 V - page 104

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 93 F .10.4 I/D TLB Data In, Data Access, and T ag Read Registe rs IMPL . DEP . #234 : The r eplacement algorithm of a TLB ent ry is impleme ntation dependent in JP S1. TA B L E F - 3 MCNTL Field Des criptio n Bits Field Name RW D escription Dat a <16> NC_Cache R/W Fo r ce instr ...

  • Fujitsu Fujitsu SPARC64 V - page 105

    94 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 For fTLB, SP A RC64 V implements a pseudo-LR U. For sTLB, LRU is used. IMPL. D EP . #235 : The MMU TLB data access address assignment and the purpose of the ad dr ess ar e implementat ion depe ndent in JP S1. ...

  • Fujitsu Fujitsu SPARC64 V - page 106

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 95 The MMU TLB data access address assignment and the purpose of the address on SP ARC64 V are shown in TA B L E F - 4 . TA B L E F - 4 MM U TLB Data Access Addr ess Assignment V A Bi t Field Description 17:16 TLB# T LB to be accessed: fTLB or sTLB i s designated as follows. 00: fTLB ...

  • Fujitsu Fujitsu SPARC64 V - page 107

    96 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 FIGURE F-2 Index n umber of set associ ative TLBs I/D MMU TLB T ag Access Register On an ASI stor e to the TLB Data Access or Data In Register , SP ARC64 V verifies the consiste ncy betwee n the T ag Access Regis ter and the data t o be writte n. If their in ...

  • Fujitsu Fujitsu SPARC64 V - page 108

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 97 I/D TSB Base Registers IMPL . DEP . #236 : The width of the TSB_ Size field in the TSB Ba se Register is impl ementati on dependent; the per mitted ra nge is fr om 2 to 6 bi ts. The least signif icant bit of TSB_Size is alway s at bit 0 of the TSB Base R egister . An y bits unimpl ...

  • Fujitsu Fujitsu SPARC64 V - page 109

    98 SP ARC JPS1 Implementati on Supplement: Fuji tsu SPARC64 V • Release 1.0, 1 July 20 02 The specifica tion of bits 24:0 in the SP ARC64 V SFSR conforms to the specification defined in Section F .10.9 in Commonalit y . Bits 63:25 in SP ARC64 V SFSR are impl ementati on dependent. TA B L E F - 5 descri bes the I-SF SR bits , and TA B L E F - 5 de ...

  • Fujitsu Fujitsu SPARC64 V - page 110

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Uni t 99 TA B L E F - 6 des cribes the field encoding for ISFSR.FT . Data <15> TM R/W T ranslation mis s. When TM = 1, it signifi es an occurrenc e of a mITLB miss u pon an i ns tru ct io n re fe ren ce. Data <13:7> FT <6:0> R/W Fault type. Saves and indicates an exact co ...

  • Fujitsu Fujitsu SPARC64 V - page 111

    100 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ISFSR is updated either up on a occurrenc e of a fast_instruction_access_MMU_mi ss , an instruction_access_exception , or an instruction_acces s_error trap. TA B L E F - 7 show s the detailed update policy of each field, and TA B L E F - 8 desc ribes th ...

  • Fujitsu Fujitsu SPARC64 V - page 112

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Unit 101 Data <46> MK R/W Marked UE . On SP ARC64 V, all uncorrectable err ors are reported as marked, so this bit i s always set whenev er DSFSR.UE =1 . See Sec tion P .2.4 for detail s. Data <45:32> EID R/W Err or-mark I D. V alid fo r a marked UE . See Section P . 2. 4 for d ...

  • Fujitsu Fujitsu SPARC64 V - page 113

    102 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 TA B L E F - 9 defines the encod ing of the FT <6:0 > field. Data CT <1:0> R/ W Context type. Saves the context attribute for the refe rence that invo kes an exception. For nontr anslating ASI or inva lid ASI, DSFSR.CT =1 1 02 . 00 02 :P r i ...

  • Fujitsu Fujitsu SPARC64 V - page 114

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Unit 103 Multiple b its of DSFSR.FT ma y be set by a trap as long as the cause of the trap match es mu ltipl y in TA B L E F - 9 . DSFSR is updated up on various traps, including f ast_data_access _MMU_miss , data_access_exception , fast_data_access_protection , P A_watchpoint , V A _watch ...

  • Fujitsu Fujitsu SPARC64 V - page 115

    104 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 F .1 1 MMU Bypass On SP ARC64 V, two addition al ASIs are supporte d as DMMU byp ass acces ses: ASI_ATOMIC_ QUAD_LDD_ PHYS (ASI 34 16 ) and ASI_ATOMIC_ QUAD_LDD_ PHYS_LIT TLE (ASI 3C 16 ) TA B L E F - 11 show s the by pass attr ibut e bits on SP ARC64 V ...

  • Fujitsu Fujitsu SPARC64 V - page 116

    Release 1. 0, 1 J uly 2002 F . Chapter F Memor y Management Unit 105 F .1 1.10 TLB Replacement Policy Automatic TLB Replacement Rule On an automatic repla cement wri te to the TLB, the MMU picks the e ntry to wr ite accor ding to the following rul es: 1. I f the following conditions ar e satisfi ed — ■ the new entry maps to an 8-Kb yte or an 4- ...

  • Fujitsu Fujitsu SPARC64 V - page 117

    106 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ■ sTLB entry updat e data: ■ New sTLB entry da t a is designated in stxa data. ■ New sTLB entry tag is designated in the I/D TLB T ag Access Regis ter . ■ Restriction b etween th e stxa addr ess and AS I TLB T ag Access Register contents: ■ Th ...

  • Fujitsu Fujitsu SPARC64 V - page 118

    F .APPENDIX 107 G Assembly Language Syntax Pleas e refer to Appen dix G of Comm onality . ...

  • Fujitsu Fujitsu SPARC64 V - page 119

    108 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 120

    F .APPENDIX 109 H Softwar e Considerations Pleas e refer to Appen dix H of Commonality . ...

  • Fujitsu Fujitsu SPARC64 V - page 121

    110 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 122

    F .APPENDIX 111 I Extending the SP ARC V9 Ar chitectur e Pleas e r efe r to Appen dix I of Commonalit y . ...

  • Fujitsu Fujitsu SPARC64 V - page 123

    112 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 124

    F .APPENDIX 113 J Changes fr om SP ARC V8 to SP ARC V9 Pleas e r efe r to Appendi x K of Commonalit y . ...

  • Fujitsu Fujitsu SPARC64 V - page 125

    114 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 126

    F .APPENDIX 115 K Pr ogramming with the Memory Models Please refer to App endix J of Commonality . ...

  • Fujitsu Fujitsu SPARC64 V - page 127

    116 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 128

    F .APPENDIX 117 L Addr ess Space Id entifiers Every loa d or stor e address in a SP ARC V9 processor has an 8-b it Address Spac e Ident ifier (ASI) appended to t he V A . The V A plus th e ASI fully sp ecifies th e addr ess. For instr uction loads and for data loads or stor es that do not use the load or st ore alterna te instruction s, the ASI is ...

  • Fujitsu Fujitsu SPARC64 V - page 129

    118 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 45 16 ASI_DCU_CON TROL _REG ( ASI_DCUCR )R W 0 0 2 2 45 16 ASI_MEMORY_ CONTROL_R EG RW 0 8 9 2 46 16 – 49 16 (JPS1) 4A 16 ASI_UP A_CONFIG_ REGISTER R — 215 4B 16 (JPS1 ) 4C 16 ASI_AS YNC_FAULT_STAT US RW 0 0 1 7 4 4C 16 ASI_UR GENT_ ERRO R_STA TUS ( ...

  • Fujitsu Fujitsu SPARC64 V - page 130

    Release 1. 0, 1 J uly 2002 F . Chapter L Address Space Identi fiers 11 9 L.3.2 Special Me mory Access ASIs Please refer to Sectio n L.3.3 in Commonality . In addition to the ASIs described in Commonalit y , SP ARC64 V supports th e ASIs described below . ASI 53 16 ( ASI_SERIAL_ID ) SP ARC64 V pr ovides an identification code for each processor . In ...

  • Fujitsu Fujitsu SPARC64 V - page 131

    120 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ASI 4F 16 ( ASI_SCRA TCH_REGx ) SP ARC64 V provid es eight of 64-bit r egisters that can be used temporary storage f or supervisor soft ware. Block Load and Store ASIs ASIs E0 16 and E1 16 exist only for u se with STDFA instruct ions as Block Stor e wit ...

  • Fujitsu Fujitsu SPARC64 V - page 132

    Release 1. 0, 1 J uly 2002 F . Chapter L Address Space Identi fiers 12 1 n = 2 (4-byte alignment): LDDF_ mem_address_not _aligned exc eption is generated. n ≤ 1 ( ≤ 2-b yte alignment ): mem _address_not_a ligned excepti on is generate d. 2. If the memory addr ess is correctly aligned, SP ARC64 V generates a data_a ccess_e xception wi th AFSR.FT ...

  • Fujitsu Fujitsu SPARC64 V - page 133

    122 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 3. Wh en th e LBSY on the SB is change d, LBSY change i nformation is br oadcast to all CPUs in t he SB. Each CPU r eceives the cha nge information and updates its copy . 4. On a re ad from an application, the copy value of LBSY , which is design ated b ...

  • Fujitsu Fujitsu SPARC64 V - page 134

    Release 1. 0, 1 J uly 2002 F . Chapter L Address Space Identi fiers 12 3 BSTW Control Register ( ASI_C_BSTW0 , ASI_C_BSTW1 ) The BSTW con trol r egi ster desi gnates wh ich bit in LB SY is written thr ough ASI_BSTW x . BSTW Busy Status Register ( ASI_C_BSTWBUSY ) The B STW bu sy status register indic ates an up date is mad e to LBSY in the SB and h ...

  • Fujitsu Fujitsu SPARC64 V - page 135

    124 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Last Barrier Sy nchr onizatio n Status R ead ( ASI_LBSYR0 , ASI_LBSYR1 ) ASI_LBSYRx i s a read interface to th e copy of LB SY . A write to ASI_LB SYRx is ignor ed. Barrier State W r ite ( ASI_BSTW0 , ASI_BSTW1 ) ASI_BSTW x is a write interfac e to LBSY ...

  • Fujitsu Fujitsu SPARC64 V - page 136

    F .APPENDIX 125 M Cache Or ganization This appe ndix describes SP ARC64 V cache or ganization in t he following sect ions: ■ Cach e T ypes on page 125 ■ Cache Coher ency Pr otocols on page 128 ■ Cache Contr ol/Status Instructions o n p a g e 1 2 8 M.1 Cache T ypes SP ARC64 V has two leve ls of on-chi p caches , with the se characteri stics: ? ...

  • Fujitsu Fujitsu SPARC64 V - page 137

    126 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 M.1.1 Level-1 Instruction Cache (L1I Cache) T ABLE M -1 shows the characterist ics of a level -1 instructio n cache. Although an L1 I cache is VIPT , TTE.CV is inef fective sinc e SP A RC64 V has unaliasin g featur es in har dwar e . Instr uction fetche ...

  • Fujitsu Fujitsu SPARC64 V - page 138

    Release 1. 0, 1 J uly 2002 F . Chapter M Cache Organizat ion 127 M.1.2 Leve l-1 Data Cache (L 1D Ca che) The level-1 da ta cache is a writeback ca che. Its charac teristics ar e shown in TA B L E M - 2 . Although L1D ca che is VIPT , TTE.CV is ineffect ive since S P ARC64 V has unaliasing features in har dware. Data access es bypass the L1D cache w ...

  • Fujitsu Fujitsu SPARC64 V - page 139

    128 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 M.2 Cache Coh er enc y Pr ot ocols The CPU uses the UP A MOE SI cache- cohere nce pr otocol; thes e letters a re a cronym s for cache lin e states as follows: A subse t of the MOES I pr otocol is use d in the on- chip caches as well as th e D-T ags in t ...

  • Fujitsu Fujitsu SPARC64 V - page 140

    Release 1. 0, 1 J uly 2002 F . Chapter M Cache Organizat ion 129 1. The opc ode of the instructions shou ld be ldda , ldxa , lddfa , s tda , stxa , or stdfa . Otherwise, a data_a ccess_exceptio n exc epti on wi th D-SFSR.FT =0 8 16 (Invalid ASI) is generated. 2. No operand add ress translation i s performed for these instructions. 3. V A<2:0> ...

  • Fujitsu Fujitsu SPARC64 V - page 141

    130 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 M.3.2 L evel-2 Cache Control Register ( ASI_L2_CTRL ) ASI_L2 _CTRL is a contr ol register for L2 training, inte rface, and size configuration. It is illu strated below and describ ed in TA B L E M - 6 . M.3.3 L2 Diagnostics T ag Read ( ASI_L2_ DIAG_TA G ...

  • Fujitsu Fujitsu SPARC64 V - page 142

    Release 1. 0, 1 J uly 2002 F . Chapter M Cache Organizat ion 131 ASI_L2_DIAG _TAG_REA D works in concert with ASI_L2_DI AG_TAG_R EAD_REG . A read to ASI_L2_D IAG_TAG_ READ ret urns 0, with t he side ef fect of setti ng the tag to AS I_L2_DIAG_TA G_READ_RE G0-6 . M.3.4 L2 Diagnostics T ag Read Registers ( ASI_L2_DIAG _TAG_RE AD_REG ) ASI_L2_DIAG _TA ...

  • Fujitsu Fujitsu SPARC64 V - page 143

    132 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 144

    F .APPENDIX 133 N Interr upt Handling Interrup t handling in S P ARC64 V is descri bed in these sections: ■ Interrupt Dispatch on page 133 ■ Interrupt Receive on page 135 ■ Inte rrupt-R elated ASR Regi sters o n p a g e 1 3 6 N.1 Interrupt Dispatch When a proc essor wants to dispat ch an interrupt to another UP A port, it first sets up the i ...

  • Fujitsu Fujitsu SPARC64 V - page 145

    134 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 read ASI_I NTR_DISP A TCH_ST A TUS Error (begin atomi c sequen ce) PST A TE.IE ← 0 Wr it e ASI_ INT R_W ( dat a 0) . . . Wr it e ASI_ INT R_W ( dat a 7) Write ASI _INTR_W (interrupt MEMBAR Busy? Y N dispat ch) read ASI_I NTR_DISP A TCH_ST A TUS Busy? ...

  • Fujitsu Fujitsu SPARC64 V - page 146

    Release 1. 0, 1 J uly 2002 F . Chapter N Interrupt Handli ng 135 N.2 Interrupt Receive When an in terrupt packet is r eceived, ei ght interr upt data r egisters ar e updated with the as sociated i ncomi n g data an d the B USY bi t in th e ASI_INT R_RECEIVE re gi s t e r i s set. If i nter rupts are enab led ( PS TATE.IE = 1), then t he pr ocessor ...

  • Fujitsu Fujitsu SPARC64 V - page 147

    136 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 N.3 Interr upt Glo bal Registers Plea se r efer to Sec tion N.3. of Commonality . N.4 Interr upt-Re lated ASR Registers Plea se re fer to Sec tion N.4 o f Commona lity f or deta ils of t hese regi sters. N.4.2 Interr upt V ector Dispatch Register SP ARC ...

  • Fujitsu Fujitsu SPARC64 V - page 148

    F .APPENDIX 137 O Reset, RED_state, and err or_state The appendix contains the se sections: ■ Reset T ypes on page 137 ■ RED_s tate a nd error_ state o n p a g e 1 3 9 ■ Proce ssor State af ter Reset and in RED_state o n p ag e 1 4 1 O.1 Reset T ypes This sectio n describe s the four reset types : power-on r eset, watchdog reset, ex te rn al ...

  • Fujitsu Fujitsu SPARC64 V - page 149

    138 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 3. The UP A_RESET_L p in is deassert ed. The p rocess or enters RED_state with TT = 1 trap to RSTVad dr + 20 16 and starts the instruction execu tion. O.1.2 W atchdog Reset (WDR) The watchdog r eset trap is generat ed internal ly in the following cases: ...

  • Fujitsu Fujitsu SPARC64 V - page 150

    Release 1. 0, 1 J uly 2002 F . Chapter O Rese t, RED_state , and erro r_state 13 9 O.2 RED_state and err o r_state FIGURE O- 1 illustrates the pr ocessor state transit ion diagram. FIGURE O-1 Processor State Diagram exec_st ate RE D_st ate err or_stat e ** DONE/RETR Y RED = 0 TRAP@M AXTL – 1 SIR@<MAXT L TRAP RED = 1 TR AP@MAXTL SIR@MAXTL TRAP@ ...

  • Fujitsu Fujitsu SPARC64 V - page 151

    140 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 O.2.1 RED_state Once the p rocess or enters RED_state for any r eason except when a power -on r eset (POR) is per forme d, th e softw are shou ld not attem pt to retu rn to ex ecute _state ; if soft war e attemp ts a r eturn, then the state o f the p ro ...

  • Fujitsu Fujitsu SPARC64 V - page 152

    Release 1. 0, 1 J uly 2002 F . Chapter O Rese t, RED_state , and erro r_state 14 1 O.2.3 CPU Fatal Err or state The pr ocessor enters C PU fatal err or state when a fatal error is detected on the pr ocessor . A f atal err or is one that br eaks the cache cohe rency or the system data integr ity and is not r e ported a s the SDC (small data corrupti ...

  • Fujitsu Fujitsu SPARC64 V - page 153

    142 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 TLE CLE 0/ C opied from CLE 0/ Unc hanged Copied from CL E Unchanged TBA <63:15> Unkno wn/Unchange d Unc hanged PIL Unknown/Unchange d Unchanged CWP Unknown/Unchang ed Unchanged except for reg is t e r w in - dow trap s Unchanged Unchanged Unchang ...

  • Fujitsu Fujitsu SPARC64 V - page 154

    Release 1. 0, 1 J uly 2002 F . Chapter O Rese t, RED_state , and erro r_state 14 3 TA B L E O - 2 ASR State after Rese t and in RED_st ate A S RN a m e P O R 1 1.Hard POR occurs w hen power is cycled. Values are unknown following hard POR. Soft POR occurs when UPA_RESET_L is asserted. Values are unchanged following soft POR. WDR 2 2.The first watch ...

  • Fujitsu Fujitsu SPARC64 V - page 155

    144 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 4A 00 UPA_CONFI G WB_S WRI_S INT_S UC_S AM MCAP CLK_MODE SCIQ1 SCIQ0 UPC_CAP2 MID UPC_CAP 000/Unc hanged 00/Unchanged 00/Unchanged 010/Unc hanged OPSR value / Unchan ge d OPSR value (r ead-only) Pin 000/Unc hanged 0000/Unchanged 1 (Rea d- on ly ) Modu l ...

  • Fujitsu Fujitsu SPARC64 V - page 156

    Release 1. 0, 1 J uly 2002 F . Chapter O Rese t, RED_state , and erro r_state 14 5 58 20 DMMU_SFAR Unknown/Unchanged Unchanged 58 28 DMMU_TSB_ BASE Unknown/Unchanged Uncha nged 58 30 DMMU_TAG_ ACCESS Unknown/Unchanged Unchanged 58 38 DMMU_VA_W ATCHPOINT Unknown/Unchanged Unchanged 58 40 DMMU_PA_W ATCHPOINT Unknown/Unchanged Unchanged 58 48 DMMU_TSB ...

  • Fujitsu Fujitsu SPARC64 V - page 157

    146 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 O.3.1 Operating Status Register ( O PSR ) OPSR is the control r egister in the CPU that is scanned in during the har dware power -on rese t sequence befor e the CP U starts running. The value of the OPSR is specifie d outside of t he CPU and is n e ver ...

  • Fujitsu Fujitsu SPARC64 V - page 158

    Release 1. 0, 1 J uly 2002 F . Chapter O Rese t, RED_state , and erro r_state 14 7 O.3.2 Har dwar e Power- On Reset Sequence T o be de fined later . O.3.3 Firmwar e Initialization Sequence T o be de fined later . ...

  • Fujitsu Fujitsu SPARC64 V - page 159

    148 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 160

    F .APPENDIX 149 P Err o r Hand ling This appe ndix describes pr ocessor behavior to a pr ogrammer writing operating system, firmware, and recovery code for SP ARC64 V. S e ction headings differ from those of Appendix P of Commonality . P. 1 E r r o r C l a s s i f i c a t i o n On SP ARC64 V, an err or is classi fied into one of the following four ...

  • Fujitsu Fujitsu SPARC64 V - page 161

    150 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 When the CPU detects the fatal err or , the CPU enters F A T AL error _state and r eports the fatal err or occurrence to the sy stem contr oller . The system contr oller trans fers the entir e system state to the F A T AL state and st ops the syst em. A ...

  • Fujitsu Fujitsu SPARC64 V - page 162

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 151 ■ Otherwise, an err or exception is generated and the damaged instructi on is exec uted a s when A SI_ERROR _CONTROL .WEAK_ED =0 i s s e t . The thr ee types of instruction -obstructing err ors are described below . ■ I_UG E (instruction ur gent error) — All of the instruction-obstr ...

  • Fujitsu Fujitsu SPARC64 V - page 163

    152 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 When the re sour ce with the error is used, the program can not contin ue exec uti on, o r the er ror_ state transi tion error or the fatal e rr or is dete cted. ■ The error in an important resource tha t is expected to invoke the operating system “ ...

  • Fujitsu Fujitsu SPARC64 V - page 164

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 153 ■ Degrad ation SP ARC64 V can isol ate an in ternal har dwar e re sour ce that g enerates fre quent err ors and con tinue pr ocessing with out deleterio us ef fect on softwar e during pr ogram executi on. However , performa nce is degraded by the re sour ce isolation. This degr adati on ...

  • Fujitsu Fujitsu SPARC64 V - page 165

    154 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .2.2 Summary of Actions Upon Err or Detection T ABL E P-2 summa riz es what ha ppen s when an erro r is dete cted . T ABLE P-2 A ction Upon Detectio n of an Err or (1 of 4) Fatal E rror (FE) Error State T ransition Err or (EE) Urgent Err or (UGE) Rest ...

  • Fujitsu Fujitsu SPARC64 V - page 166

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 155 Action upon the err or det ection 1. CPU enters CPU fatal state. 2. CPU informs the s ystem of fatal error occurrence. 3. T he F A T AL rese t ( whi ch is a form of POR reset) is issued to th e whole system. 4. POR reset is caused to all CPUs in the system. 1. CPU enters error_st ate . 2. ...

  • Fujitsu Fujitsu SPARC64 V - page 167

    156 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 tt ( trap type) 1 ( RE D_state )2 ( RED_state ) ADE : 40 16 DA E : 32 16 IAE : 0A 16 63 16 Tr a p p r i o r i t y 1 1 ADE — 2 DA E — 12 IAE — 3 32 End-met hod of trapped instruction Aband oned Ab andone d. ADE trap Pr ecise, r etryable or nonr etr ...

  • Fujitsu Fujitsu SPARC64 V - page 168

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 157 P .2.3 Extent of Automatic Sour ce Data Corr ection for Corr ectable Err or Upon detect ion of the followin g correct able err ors ( CE ), the CP U corr ects the i nput data a nd uses the corr ected data ; however , the sour ce data with the CE is not corr ected au tomaticall y . ■ CE i ...

  • Fujitsu Fujitsu SPARC64 V - page 169

    158 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ■ When a har dwar e unit first de tects an uncorr ected err or in the cacheab le data, t he hardware unit replaces the da ta and ECC of th e cac heabl e data wi th a special patte rn that ide ntifies the original error so urce and signifies that the d ...

  • Fujitsu Fujitsu SPARC64 V - page 170

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 159 The ERROR_ MARK_ID (14 bits wide) identifies the err or sour ce. The har dware unit that d etects the erro r pr ovides the err or sour ce_ID and s ets the ERROR_MARK_ID valu e. The format of ERROR_MAR K_ID <13: 0> is defined in T A BLE P-5 . ERROR_MARK_ID Set by CPU T ABL E P-6 show ...

  • Fujitsu Fujitsu SPARC64 V - page 171

    160 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Dif fer ence Between Err or Marking on SP ARC64 IV and SP ARC64 V T ABL E P-7 lists t he dif fer ences betw een err or marking on SP ARC64 IV and SPAR C64 V. Err or marking on SP ARC64 IV and SP ARC64 V dif fers in two ways: ■ On SP ARC64 V, only the ...

  • Fujitsu Fujitsu SPARC64 V - page 172

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 161 P. 2 . 5 ASI_EI DR The ASI_EI DR re gister d esigna tes the sou r ce ID in th e ERROR_MA RK_ID of the CP U. P .2.6 Contr ol of Err or Action ( ASI_ERROR_ CONTROL ) Error dete ction mask ing and th e acti on aft er error de tecti on are cont rolled by the valu e in ASI_ ERROR_CO NTROL , as ...

  • Fujitsu Fujitsu SPARC64 V - page 173

    162 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 1 WEAK_ED RW W eak Error Detecti on. Contr ols whether the detecti on of I_UGE and DA E is suppressed: When WEAK _ED = 0 , error de tection is not suppress ed. When WEAK_ED = 1, error detection is suppressed if t he CPU can co ntinue pr ocessing. When I ...

  • Fujitsu Fujitsu SPARC64 V - page 174

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 163 P .3 Fatal Err or and e rror_sta te Tr a n s i t i o n E r r o r P. 3 . 1 ASI_ST CHG_ERR OR_INFO The ASI _STCHG_ ERRO R_INF O registe r stor es detected F A T AL error and error_ state transition err or information, for access by OBP (Open Boot PROM) software. T ABL E P-10 describe s the ...

  • Fujitsu Fujitsu SPARC64 V - page 175

    164 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .3.2 Fatal Err or T ypes ■ FE_UP A_ADDR_UNCORRECTED_ ER ROR — An uncorr ected err or in the address receiv ed from UP A ■ FE_U2T AG_UNCORRECT ED_ERROR — An uncorr ected err or detected in t he U2 cache tag ■ FE_OTHER — A fatal err or other ...

  • Fujitsu Fujitsu SPARC64 V - page 176

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 165 ■ Ideal specification (not implemente d) The EE_OTH ER bit is specified in ASI_STCHG_ERROR _INFO bi t 14. Wh en hardwa re dete cts error_ state transiti on err ors other than those des cribed above, it se ts ASI_S TCHG_ERR OR_INFO.EE_O THER =1 . P . 4 U rg e n t E r ro r This sect ion p ...

  • Fujitsu Fujitsu SPARC64 V - page 177

    166 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 22 IAUG_CRE R U ncorr ectab le error in any of the following: (IA) ASI_EID R (IA) ASI_PA_ WATCH_POI NT when ena bled (IA) ASI_VA_ WATCH_POI NT when ena bled (I) ASI_AF AR_D1 (I) ASI_AF AR_U2 (I) ASI_IN TR_R (S P ARC64 V devia t ion from the ideal s peci ...

  • Fujitsu Fujitsu SPARC64 V - page 178

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 167 15 AUG_SDC R S ystem data corruption. Indicate s the occurrence of the follow ing system data corru pt ion: Small data corruption: Data in the c acheable a rea wi th an unpr edictabl e address is destro yed. The destro yed area is so me number of 64-byte blocks. Invalid physical address u ...

  • Fujitsu Fujitsu SPARC64 V - page 179

    168 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P. 4 . 2 A c t i o n o f async_data_error ( ADE ) T rap The sing le- ADE trap and the mult iple- AD E trap ar e generated upon the conditions defined in T ABLE P -2 o n page 154. The actions upon their occurr ence are define d in mor e detail in this s ...

  • Fujitsu Fujitsu SPARC64 V - page 180

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 169 The following actions ar e executed in this order: a. State transition if ( TL = MAXT L ), th e CPU en ters er ror_st ate and abandons the ADE trap; else if (CP U is in exec ution state & & ( TL = MAXT L − 1)), then the CPU enters RED_state . b. T rap target addr ess calculation ...

  • Fujitsu Fujitsu SPARC64 V - page 181

    170 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Err ors in r egisters oth er than those listed ab ove and a ny err ors in the T LB entry re ma i n . b. Update of ASI _UGESR , as shown in T ABLE P- 13 . c. Update of ASI_ERROR_C ONTROL Upon a single- ADE trap , ASI_ERROR _CONTROL. UGE_HANDLER is set to ...

  • Fujitsu Fujitsu SPARC64 V - page 182

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 171 T ABL E P-14 defi nes each instruc tion end- metho d af ter an ADE trap . P .4.4 Expected Softwar e Handling of ADE Tr a p The expec ted softwar e handling of an ADE trap is described by the pseudo C code below . The main pu rpose of this f low is to r ecover fr om the followin g error s ...

  • Fujitsu Fujitsu SPARC64 V - page 183

    172 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 void expected_software_handling_of_ADE_trap() { /* Only %r0-%r7 can be used from here to Point#1 because the register window control registers may not have valid value until Point#1. It is recommended that only %r0-%r7 are used as general-purpose regist ...

  • Fujitsu Fujitsu SPARC64 V - page 184

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 173 causes the data_access_error trap when its tag matches at the DTLB reference for address translation. */ } if (ASI_UGESR.IUG_ITLB == 1) { execute demap_all for ITLB; /* A locked fITLB entry with uncorrectable error is not removed by this operation. A locked fITLB entry with UE never detec ...

  • Fujitsu Fujitsu SPARC64 V - page 185

    174 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .7 Restrainabl e Err ors This secti on describ es the registers — ASI_ASY NC_F AULT _STATUS , ASI_AS YNC_F AULT _ADDR_D 1 , and ASI_ ASYNC_ FAULT _ADDR_ U2 — that def ine th e r estrainable err ors and explains how softwar e handles these err ors. ...

  • Fujitsu Fujitsu SPARC64 V - page 186

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 175 ■ If the P rio_U2 column for the err or shown in the table r ow is bla nk, the er ror is ne ve r re co rde d i nt o ASI_AFAR_U2 . ■ Otherwise, the Prio_U2 column for the err or shown in th e table r ow indicat es the ASI_ AFAR_ U2 r ecord ing priority , as follows. Let P_U2 be the Pr ...

  • Fujitsu Fujitsu SPARC64 V - page 187

    176 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 3 UE_DST_BETO RW1C Di srupting stor e UP A bus error or timeo ut. Indicates that the stor e data is not written to memory because one of fo llowing er ror s was detec ted after th e store instruction completed: • UP A bus e rro r for the s tore instr ...

  • Fujitsu Fujitsu SPARC64 V - page 188

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 177 P .7.2 ASI_ASYNC_F AUL T_ADDR_D1 T ABL E P-16 describe s the f ields of the ASI_ASYNC_FAUL T_ADDR_D1 reg iste r . [1] R egister nam e: ASI_ASYNC_FAUL T_ADDR_D1 ( ASI_ AFAR_D1 ) [2] A SI: 4D 16 [3] V A: 00 16 [4] Er r or checking: Parity [5] F orma t & f unction: S ee T ABLE P- 16 . [6 ...

  • Fujitsu Fujitsu SPARC64 V - page 189

    178 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .7.3 ASI_ASYNC_F AUL T_ADDR_U2 The ASI_AS YNC_FAULT_AD DR_U2 r egist er is described in T ABLE P- 17 . [1] R egister nam e: ASI_ASYNC_FAULT_ ADDR_U2 ( ASI_ AFAR_U2 ) [2] A SI: 4 D 16 [3] V A: 08 16 [4] Er r or checking: Parity [5] F orma t & f unc ...

  • Fujitsu Fujitsu SPARC64 V - page 190

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 179 P. 7 . 4 E x p e c t e d So ftwar e Handling of Restrainable Err ors Err or recor ding and information is e xpected for all r estrainable err ors . The expec t ed softw are recove ry from each type of each r estrainable err or is described below . ■ ASI_AFSR.DG_L1 $U2$STLB — The follo ...

  • Fujitsu Fujitsu SPARC64 V - page 191

    180 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 b. W rite the U2 cach e line with the CE detection to memory eithe r by using t he ASI_L2 _CTRL .U2_ FLUSH f a c i l i t y o r b y d i s pl a c e m e n t f l u s h . c. Clea r ASI_AFSR.C E_INCOME D and rel oad the memory block to U2 cache, usin g loa d ...

  • Fujitsu Fujitsu SPARC64 V - page 192

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 181 P .8 Handling of Internal Register Erro rs This section describes err or handling for the following: ■ Most regi sters ■ ASR registers ■ ASI registers P .8.1 Reg ister Err or Handling (Excluding ASRs and ASI Registe rs) The terminolog y used in TA B L E P - 1 8 is defined as follows ...

  • Fujitsu Fujitsu SPARC64 V - page 193

    182 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .8.2 ASR Error Handling The terminolog y used in T ABL E P-19 is defined as follows: T ABL E P-19 shows the h andling of ASR errors. TPC R W Pa rity InstAccess IUG_TST A TE W TNPC RW Pari ty InstAccess IUG_TST A TE W TSTATE RW Pari ty InstAccess IUG_T ...

  • Fujitsu Fujitsu SPARC64 V - page 194

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 183 P .8.3 ASI Reg ister Err or Handling The terminolog y used in T ABLE P -20 is defined as follows: 5 PC R Parity Always IUG_PST A TE AD E tra p 6 FPRS RW P a r i t y A l w a y s IUG_%F ADE trap , W 7 — 8-15 — 16 PCR RW N o n e —— — 17 PIC RW N o n e —— — 18 DCR RN o n e — ...

  • Fujitsu Fujitsu SPARC64 V - page 195

    184 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Error D etect Condition Always Error is al ways checked. AU G always Error i s checked when ( ASI_ERROR_CONTROL .UGE_HAND LER = 0) && ( ASI_ERROR_CON TROL.WEAK _ED =0 ) . LDXA Error i s checked when the regis ter is r ead by LDXA ins truction. L ...

  • Fujitsu Fujitsu SPARC64 V - page 196

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 185 Error T y pe error_s tate error _state transition err or . (I) AUG _ xxxx The err or is indica ted by ASI_ UGESR.IAUG _ xxxx = 1, and the err or class is autonomous ur gent error . I(A) UG_ xxxx Th e error is in dicated by ASI_UGESR.IAUG _ xxxx = 1, and the err o r cl ass is instructio n ...

  • Fujitsu Fujitsu SPARC64 V - page 197

    186 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 T ABL E P-20 shows the han dling of ASI register errors. TA B L E P - 2 0 Handli ng of ASI Regi ster Errors ASI V A Register Name R W Error Protect Err or Detect Condition Error T ype Correction 45 16 00 16 DCU_C ONTROL RW P a r i t y A l w a y s er ror ...

  • Fujitsu Fujitsu SPARC64 V - page 198

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 187 58 16 30 16 DMMU_ TAG_ACCESS RW P a r i t y LDXA #D IUG_TSB P W (W otherD ) 58 16 38 16 DMMU_ VA_WATCHPO INT RW P a r i t y E n a b l e d LDXA (I)AUG_CRE I(A)UG _CRE W W 58 16 40 16 DMMU_ PA_WATCHPO INT RW P a r i t y E n a b l e d LDXA (I)AUG_CRE I(A)UG _CRE W W 58 16 48 16 DMMU_ TSB_PEX ...

  • Fujitsu Fujitsu SPARC64 V - page 199

    188 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 SP ARC64 V Implementation and the Id eal Specification In the table on page 183 (defining termi nology in T ABLE P -20 ), the rows ( ASIs 6F 16 , 7F 16 , and EF 16 ) with error type of “ Not detected (#dv) ” or “ COREERROR (#dv) ” indicat e that ...

  • Fujitsu Fujitsu SPARC64 V - page 200

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 189 When a pa rity err or is detected in a D1 cache ta g entry or in a D1 cache tag cop y entry , har dwar e automati cally corr ects the e rror b y copying t he corr ect ta g entry fr om the othe r copy of the tag entry . If the err or can be corre cted in this way , pr ogram execu tion is u ...

  • Fujitsu Fujitsu SPARC64 V - page 201

    190 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .9.2 Handling of an I1 Cache Data Err or I1 ca che data is prote cted by parity att ached t o every doub lewor d. When a pa rity err or is detected in I1 cache d ata during a n instruction f etch, har dwar e executes t he following sequence: 1. R erea ...

  • Fujitsu Fujitsu SPARC64 V - page 202

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 191 Marked Uncorr ectable Err or in D1 Cache Data When a marke d uncorrect able error ( UE ) in D1 cache data is detected during the D1 cache line writeback to the U2 cache, the D1 cache data and its ECC are written to the target U2 cac he da ta and i ts EC C with out mo difi cati on. Th at i ...

  • Fujitsu Fujitsu SPARC64 V - page 203

    192 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 P .9.4 Handling of a U2 Cache Data Err or U2 cache dat a is prote cted by 2-bit error det ection and 1-bi t error correction ECC, attached to every doublewor d. Correctable Erro r in U2 Cache Data When a corr ectable erro r is detected in the incoming U ...

  • Fujitsu Fujitsu SPARC64 V - page 204

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 193 doublewor d an d its ECC in the r ead data and those in the source U2 cache line ar e changed to marked UE data. The r estrainable e rror ASI_AFSR. UE_RA W _L2$INSD is detected. Implem entation No te – SP ARC64 V detects ASI _AFSR.UE_F A W_L2$INSD only on writebac k. P .9.5 Automatic W ...

  • Fujitsu Fujitsu SPARC64 V - page 205

    194 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 2. Othe rwise: ■ All ent ries in I1 c ache way W a re inva lidated and the way W will nev er be re fi l l e d . ■ The r e strainable err or AS I_AFSR.DG_L1$U2$ST LB i s re po rt ed t o so ftw are . D1 Cache W ay Reduction When a w ay reduct ion cond ...

  • Fujitsu Fujitsu SPARC64 V - page 206

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 195 2. Othe rwise: ■ All ent ries in availa ble U2 cache w ays, including way W , are inv alidated to retain system cons istenc y . ■ W ay W becomes unavai lable and is never refilled. ■ The r e strainable err or AS I_AFSR.DG_L1$U2$ST LB i s re po rt ed t o so ftw are . P .10 TLB Err or ...

  • Fujitsu Fujitsu SPARC64 V - page 207

    196 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 When a pa rity err or is detected in an ITLB entry when an LDXA instruction att empts to read ASI_ITLB_D ATA_ACCE SS or ASI_I TLB_TAG_ACCE SS , h a rdw are automatic ally demaps t he entry and an instruction urge nt err or is indicated in ASI_UGESR.I UG ...

  • Fujitsu Fujitsu SPARC64 V - page 208

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 197 sTLB W ay Redu ction When a w ay reduct ion condition is r ecognized for the sTLB w a y W ( W = 0 o r 1 ) , har dwar e executes the foll owing way r eduction pr ocedur es: 1. When o nly on e way in sTLB is acti ve bec ause of previo us way reduc tion s: ■ The pr eviously r educed way is ...

  • Fujitsu Fujitsu SPARC64 V - page 209

    198 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ■ Raw (unmar ked) uncorr ectable err or (multibit err or) ■ Mark ed u ncor rectab l e er ror Corr ectable Err or on Extended UP A Data Bus When th e SP ARC64 V pr ocessor detect s a corr ectabl e erro r in the e xtended UP A incoming data, the pr oc ...

  • Fujitsu Fujitsu SPARC64 V - page 210

    Release 1. 0, 1 J uly 2002 F . Chapt er P Error Handling 199 ■ Incom ing noncachea ble data fetched by an instruction fetch . When a UE is detected in su ch data, an instruction_access_error with marked UE i s d et ec te d at the time t he fe tche d inst ruc tion is ex ecute d. ■ Incom ing noncachea ble data loaded by a load instruction. When t ...

  • Fujitsu Fujitsu SPARC64 V - page 211

    200 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 212

    F .APPENDIX 201 Q Performance Instrumentation This appendix desc ribes and specifies performance monitors that have be en impleme nted in the SP A RC64 V pr ocessor . The a ppendix contains these section s : ■ Perfor mance Moni tor Over view on page 201 ■ Perfor mance Moni tor Des cription on page 203 ■ Instruction Stati stics on page 204 ■ ...

  • Fujitsu Fujitsu SPARC64 V - page 213

    202 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 /* clear pi cs wit hou t alte ring sl /s u valu es */ pic_in it = 0x0; pcr = rd_pc r(); pcr.ul ro = 0x1; /* don’t ch an ge su/ sl on write */ pcr.ov f = 0 x0; /* c lear ov erfl ow b its als o */ pcr.ut = 0x 0; pcr.st = 0x 0; /* dis able counts for goo ...

  • Fujitsu Fujitsu SPARC64 V - page 214

    Release 1. 0, 1 J uly 2002 F . Chapter Q P erfo rmance Instrumentation 203 for(i= 0; i<=p cr .nc; i+ +) { /* ass ume r est of pcr data has been p rese rved */ pcr.sc = i; wr_pcr (pcr) ; pic = rd_pi c(); picl[ i] = pic.picl ; picu[ i] = pic.picu ; } Q.2 Pe rformance Monitor D escription The performance monitors can be divided into the following g ...

  • Fujitsu Fujitsu SPARC64 V - page 215

    204 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Q.2.1 Instr uction Statistics Instruct ion statistics counters can be monitor ed by any SU or SL of any PIC . ● Performance Monitor Cycle Count (cycle_counts) Coun ts the cycl es whe n th e perfo rman ce mo nito r is en abl ed. Th is cou nte r is simi ...

  • Fujitsu Fujitsu SPARC64 V - page 216

    Release 1. 0, 1 J uly 2002 F . Chapter Q P erfo rmance Instrumentation 205 ● Instruction Count ( instruction_coun ts) Counts the number of committ ed instructions . For user or system mode counts, this coun ter is exa ct. Combined w ith the cycle_counts , it provi des instr uctions per cycle. IPC = instru ction_counts / cycle_counts If Inst ruc t ...

  • Fujitsu Fujitsu SPARC64 V - page 217

    206 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ● Pr efetch Instruction Count (pr efetch_instructions) Counts t he committed pr efetch inst ructions. Q.2.2 T rap-Related Statistics ● All T raps Count (trap_ all) Counts a ll trap events. Th e value is equival ent to the sum of ty pe-specifi c trap ...

  • Fujitsu Fujitsu SPARC64 V - page 218

    Release 1. 0, 1 J uly 2002 F . Chapter Q P erfo rmance Instrumentation 207 ● Softwar e Instructi on T rap (trap_trap_i nst) Counts the occurren ces of Tcc instructi ons. ● Instruction MMU Mis s T rap (trap_IMMU_ miss) Counts the occurren ces of f a st_instruction_access_M MU_miss . ● Data MMU Miss T rap (trap_DMMU_miss) Counts the occurren ce ...

  • Fujitsu Fujitsu SPARC64 V - page 219

    208 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Q.2.4 Cache Event Counters ● I1 Cache Miss C ount (if_r_i u_r eq_mi_go) Counts the occurren ces of I1 cache m isses. ● D1 Cache Mi ss Count (op_r_iu_ req_ mi_go) Counts the occurren ces of D1 cache mis ses. ● I1 Cache Miss Latency ( if_wait_all ) ...

  • Fujitsu Fujitsu SPARC64 V - page 220

    Release 1. 0, 1 J uly 2002 F . Chapter Q P erfo rmance Instrumentation 209 ● L2 Cache Miss Coun t by Demand Access (sx_ miss_count_d m) Counts the occurren ces of L2 cache miss by demand access. ● L2 Cache Miss Count by Pr efetch (sx_miss_count_ pf) Counts the occurren ces of L2 cache miss by both s oftware prefe tch and hardware pref etc h acc ...

  • Fujitsu Fujitsu SPARC64 V - page 221

    210 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Q.2.5 UP A Event Counters UP A event counte rs count t he number of S_REQ _ xxx re que st s rec ei v ed by a C PU in a given tim e. ● INV Recei ve Count ( sr eq_ bi_coun t) Coun ts the numb er of S_ INV_ REQ packets r eceived. ● CPI Receive Coun t ( ...

  • Fujitsu Fujitsu SPARC64 V - page 222

    Release 1. 0, 1 J uly 2002 F . Chapter Q P erfo rmance Instrumentation 211 Q.2.6 Miscellaneous Counters ● Barrier -Assist ASI Read Count (asi_ rd _bar) Counts the num ber of rea d accesses to the barr ier- assist ASI r egisters. ● Barrier- Assist ASI W rite Count (asi_wr_bar) Counts t he numbe r of write accesses t o the ba rrier -assist ASI r ...

  • Fujitsu Fujitsu SPARC64 V - page 223

    212 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 224

    F .APPENDIX 213 R UP A Pr ogrammer ’ s Model This chapter describes the prog rammers model of the UP A interface of t he SP ARC64 V. The r egisters fo r the UP A interf ace and th e access me thod for those registers are described. The appendix contains th e followin g sections : ■ Mapp ing of th e CPU ’ s UP A Port Sl ave Ar ea on page 213 ? ...

  • Fujitsu Fujitsu SPARC64 V - page 225

    214 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 R.2 UP A PortID Register The UP A PortID Reg ister i s a standard read-only register th at accessi ble by a sl ave read from another UP A port. This registe r i s located at word address 00 16 in the slave physical addr ess of the UP A port. This regis ...

  • Fujitsu Fujitsu SPARC64 V - page 226

    Release 1. 0, 1 J uly 2002 F . Chapter R UP A Progr ammer ’ s Model 215 R.3 UP A Config Register The UP A Config Regist er is an implement ation-spe cific ASI r ead-only regi ster . This r egist er is access ible in the A SI 4A 16 space from the ho st processor and c annot be accessed for a UP A slav e read. Bits 16:0 and bit 22 are connec ted to ...

  • Fujitsu Fujitsu SPARC64 V - page 227

    216 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 58:57 WRI_S Specify the size of maximum outstanding WRI packet as fo llows . 00 2 :1 01 2 :2 10 2 :4 11 2 :8 56:55 INT_S Specify the size of maximum outstanding INT packet as fo llows . 00 2 :1 01 2 :8 10 2 – 11 2 : 8, but should not be specifi ed for ...

  • Fujitsu Fujitsu SPARC64 V - page 228

    Release 1. 0, 1 J uly 2002 F . Chapter R UP A Progr ammer ’ s Model 217 29:23 PCON Pro cessor Configurati on. Separated i nto PCON <6:4> an d PCON <3:0>. PCON <6:4> ( UPA_CONFI G <29:27>) rep resent s the size of class 1 r equest queue in the System Contr oller (SC). 000 2 :1 001 2 – 01 0 2 : 1, but should not be specif ...

  • Fujitsu Fujitsu SPARC64 V - page 229

    218 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 230

    F .APPENDIX 219 S Summary of Dif fer ences between SP ARC64 V and UltraSP ARC-III The f oll owing tabl e sum mar izes differenc es b etwee n SP ARC 64 V and Ultr aSP ARC-I II ISAs . This lis t is a summ ary , not an exhaus tive list . TA B L E T - 1 SP ARC64 V and UltraSP ARC-II I Differ ences ( 1 o f 3 ) Feature SP ARC64 V SP ARC64 V P age UltraSP ...

  • Fujitsu Fujitsu SPARC64 V - page 231

    220 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 Floating- poi n t subnormal handling I n ge ne r al , S P A R C 6 4 V d o e s n o t handle most subnormal operands an d re sul ts in h ard w are . H ow eve r , its han dling differs from t hat of Ultr aSP ARC-III. 6 5 I n g e n er al , U l t r aS P AR C ...

  • Fujitsu Fujitsu SPARC64 V - page 232

    Release 1. 0, 1 J uly 2002 F . Chapter S Summar y of Diff erences between SP ARC64 V and UltraSP ARC-III 22 1 Err or status ASI 4C 16 /08 16 ( ASI _UGESR ): S P AR C6 4 V i mp l e m e n t s a n e r r o r status regi ster to in dicate wher e an err o r was dete cted. 165 N o t implemented. — Error C ontrol Register ASI 4 C 16 /10 16 ( ASI_ECR ): S ...

  • Fujitsu Fujitsu SPARC64 V - page 233

    222 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 234

    223 F .CHAPTER Bibliography Genera l Refer ences Pleas e refer to Bibliography in Commonality . ...

  • Fujitsu Fujitsu SPARC64 V - page 235

    224 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ...

  • Fujitsu Fujitsu SPARC64 V - page 236

    225 F .CHAPTER Index A A_UGE categories152 err o r dete ction action15 5 err or det ection mask154 specific at ion of15 1 addr ess mask (AM) field of PST A TE r egister49 , 53 addr ess space id entifier (ASI) complet e list117 ADE condit ions ca usin g168 end-method170 reg isters written for update/ validation1 69 softw ar e handling171 state tr an ...

  • Fujitsu Fujitsu SPARC64 V - page 237

    226 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ASI_ C_B STW01 23 ASI_ C_B STW11 23 ASI_C_LBSTWBUS Y123 ASI_C_LBSYR0 122 ASI_C_LBSYR1 122 ASI_DCU_CONTROL _REGISTER118 ASI_DCUCR 118 ASI_DMMU_SF AR153 ASI_DMMU_SFSR153 ASI_DMMU_T AG_ACCE SS166 ASI_DMMU_T AG_T ARGET166 ASI_DM MU_TSB_ 64KB_P TR166 ASI_ DM ...

  • Fujitsu Fujitsu SPARC64 V - page 238

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 227 ASI_INTR_ W133 , 13 4 ASI_ ITLB_D A T A_ACCES S196 ASI_ITLB_T A G_ACCESS1 96 ASI_L2_CTRL1 30 ASI_L2_ DIAG_T AG 131 ASI_L2_DIAG_ T AG_READ_RE G131 ASI_L3_ DIAG_DA T A0_ REG118 ASI_L3_ DIAG_DA T A1_ REG118 ASI_LB SYR0124 ASI_LB SYR1124 ASI_MCNTL92 JPS1_TSB P8 8 ASI_MEMOR Y_CONTROL_REG118 ASI_NUCLEUS 5 ...

  • Fujitsu Fujitsu SPARC64 V - page 239

    228 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 compare and swa p37 B barrie r assist121 ASI r ead/write accesses, counti ng211 parallel18 7 , 188 block block stor e with commit120 load instr u c ti ons1 20 , 220 stor e inst r uc ti ons1 20 , 220 blocked instruct ions10 branch his tory buffer2 branch ...

  • Fujitsu Fujitsu SPARC64 V - page 240

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 229 level-2 char acterist ics125 contro l reg iste r130 tag r ead130 unified12 7 use2 snooping1 40 synchr onizing42 unified char acterist ics127 desc rip tio n8 CALL instru cti on2 4 , 29 , 30 , 53 CANRESTORE r e g ister16 6 CANSA VE register166 CASA instruction37 , 102 CASXA instruction37 , 102 catastr ...

  • Fujitsu Fujitsu SPARC64 V - page 241

    230 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 err or det ection mask154 reporting 151 data cacheable doub lewo rd err or ma rki ng 158 error marking1 57 error pr otection158 corruption167 prefe tch25 data_ac cess_ error exception55 , 90 , 101 , 10 3 , 130 , 152 , 199 data_ac cess_ e xcept ion excep ...

  • Fujitsu Fujitsu SPARC64 V - page 242

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 231 dispatc h (instru ction)9 disr up ti ng traps17 , 37 distrib ution nonsp eculat ive10 speculati ve11 DMMU access bypas sing 104 disabled91 internal r egister (ASI_MCNTL)92 re gisters ac cessed92 Sync hron ous F ault Sta tus R eg ist e r97 T ag Access Regi ster90 DMMU_D E MAP registe r187 DMMU _P A_ ...

  • Fujitsu Fujitsu SPARC64 V - page 243

    232 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 ECC_error exception46 , 153 , 155 , 180 ee_ops r 164 ee_sec ond_w atch_d og_timeou t 164 ee_sir_ in_maxtl 164 ee_tr ap_addr_un corrected _error 164 ee_tr ap_in_ma xtl 164 ee_w atch_dog_timeo ut_in_ma xtl 164 err or asynch ronous17 categories149 classifi ...

  • Fujitsu Fujitsu SPARC64 V - page 244

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 233 privi leged_a ction 79 statis tic s mo nit ori ng206 – 207 unfinish ed_FP op 62 , 65 execute_state140 executed, defi nition9 execution EU (exec ution un it)6 out-of-orde r25 speculati ve25 externally_ initiate d_reset (XI R)138 F f ast_da ta_ac cess_M MU_mi ss exception90 f ast_da ta_acce ss_pr ot ...

  • Fujitsu Fujitsu SPARC64 V - page 245

    234 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 FMADD s instr uction50 FMSUB ins tr u cti o n3 0 , 45 FMSUBd instr uction50 FMSUBs instr uction50 FNMADD instruction45 FNMADDd ins truction5 0 FNMADDs ins truction5 0 FNMS UB in s truct ion4 5 FNMSUBd ins tructi on5 0 FNMS UBs inst ruct ion 50 format s, ...

  • Fujitsu Fujitsu SPARC64 V - page 246

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 235 IMMU internal r egister (ASI_MCNTL)92 re gisters ac cessed92 Sync hron ous F ault Sta tus R eg ist e r97 IMMU_DEMAP r egis ter 186 IMMU_SFSR r egis ter186 IMMU_T AG_ACCESS regist er186 IMMU_T AG_T AR GE T register1 86 IMMU _ T SB _64KB_ PT R r egister18 6 IMMU _TSB_8 KB_PTR r egister1 86 IMMU_TS B_B ...

  • Fujitsu Fujitsu SPARC64 V - page 247

    236 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 imp lem ent ati on -de pen den t ( I MPD EP2 )3 0 imp lem ent ati on -de pen den t ( I MPD EP n )49 , 50 initiat ed, definition9 issued, defin iti on9 LDDF A80 prefe tch91 res erved fields45 stall10 statis tics counter s204 timing4 6 integer unit (IU) d ...

  • Fujitsu Fujitsu SPARC64 V - page 248

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 237 JMPL instruct ion29 , 53 JPS1_TSB P mode93 JT AG command91 , 16 4 , 189 L LBSY cont r ol reg ist er1 22 LDD ins truction37 LDDA instr uc tio n3 7 , 54 , 10 2 , 103 LDDF_m em_ad dress _not_align ed exception80 , 120 LDDF A instru c ti on8 0 , 12 0 LDQF_m em_ad dress _not_ali gned exception46 LDSTUB i ...

  • Fujitsu Fujitsu SPARC64 V - page 249

    238 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 stor e or der (STO)75 TSO41 , 42 MEMOR Y_CONTROL re gister186 mmask field56 MMU disabled91 event counting207 exce ptions re cord ed8 9 Memory Cont r ol Register92 physical a ddres s width86 re gisters ac cessed92 TLB data access addr ess assignmen t94 T ...

  • Fujitsu Fujitsu SPARC64 V - page 250

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 239 partial or dering, spec ification56 partial st ore i nstruction UP A transact ion5 7 watchpoin t exc ept ions5 7 partial st ore i nstructions1 20 partial stor e or der (PSO) me mory mo del4 1 PC register169 PCR accessib ility20 counter events, selection2 02 err o r ha ndli ng1 8 3 NC field2 1 OVF fi ...

  • Fujitsu Fujitsu SPARC64 V - page 251

    240 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 PRIMAR Y_CONTEXT register186 privilege d reg isters19 privi leged_a ction exception20 , 79 , 90 , 103 , 11 7 PCR access58 , 59 privi leged_o pcode except ion22 proc es sor sta t es afte r re set1 41 err or_state36 , 72 , 14 0 execute_state140 RED_state3 ...

  • Fujitsu Fujitsu SPARC64 V - page 252

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 241 clock-tick (TICK)73 curr ent window pointe r (CWP) 75 Data Cache Unit Control (DCUCR)23 LBSY cont r ol122 other w indows (OTHER WIN)75 privilege d19 renaming 10 res torable windows (CANRE STORE)75 savable window s (CANSA VE)75 rel axed memory order (RMO) memory model41 re servatio n statio n11 res e ...

  • Fujitsu Fujitsu SPARC64 V - page 253

    242 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 scan definition 11 ring 11 sDTLB77 , 85 , 90 SECONDAR Y_CONTEXT register186 SERIA L_ID r egister186 SET_SOFTINT r egister183 SHUTDOWN instruction58 SIR instr u ction138 sITLB77 , 85 , 90 size field of instr uct ion s28 SOFTINT r egister38 , 13 5 , 166 , ...

  • Fujitsu Fujitsu SPARC64 V - page 254

    Release 1. 0, 1 J uly 2002 F . Chapter Inde x 243 T T ag Access Regi ster96 T cc ins truc tion, coun ting2 07 TICK r egister19 , 73 TICK _CO MP ARE r e gis ter 183 TL r egister138 , 140 TLB CP field1 26 data char acterist ics77 in TLB or ganization85 data ac cess addr ess95 Data Ac cess/Data In Register96 index95 instr uction char acterist ics77 in ...

  • Fujitsu Fujitsu SPARC64 V - page 255

    244 SP ARC JPS1 Implem entati on Su p ple ment: Fujitsu SPARC64 V • Release 1. 0, 1 Ju ly 2002 way r eduction194 uDTLB10 , 85 , 90 UE_R A W_D 1$ INSD error19 1 UE_RA W_L2$FILL erro r192 uITLB10 , 85 , 90 uncorr ectable err or152 , 167 unfinish ed_FP op exception62 , 65 unimple mente d_FP op floating -p oi nt trap type70 unimple mente d_LDD except ...

Manufacturer Fujitsu Category Computer Accessories

Documents that we receive from a manufacturer of a Fujitsu Fujitsu SPARC64 V can be divided into several groups. They are, among others:
- Fujitsu technical drawings
- SPARC64 V manuals
- Fujitsu product data sheets
- information booklets
- or energy labels Fujitsu Fujitsu SPARC64 V
All of them are important, but the most important information from the point of view of use of the device are in the user manual Fujitsu Fujitsu SPARC64 V.

A group of documents referred to as user manuals is also divided into more specific types, such as: Installation manuals Fujitsu Fujitsu SPARC64 V, service manual, brief instructions and user manuals Fujitsu Fujitsu SPARC64 V. Depending on your needs, you should look for the document you need. In our website you can view the most popular manual of the product Fujitsu Fujitsu SPARC64 V.

A complete manual for the device Fujitsu Fujitsu SPARC64 V, how should it look like?
A manual, also referred to as a user manual, or simply "instructions" is a technical document designed to assist in the use Fujitsu Fujitsu SPARC64 V by users. Manuals are usually written by a technical writer, but in a language understandable to all users of Fujitsu Fujitsu SPARC64 V.

A complete Fujitsu manual, should contain several basic components. Some of them are less important, such as: cover / title page or copyright page. However, the remaining part should provide us with information that is important from the point of view of the user.

1. Preface and tips on how to use the manual Fujitsu Fujitsu SPARC64 V - At the beginning of each manual we should find clues about how to use the guidelines. It should include information about the location of the Contents of the Fujitsu Fujitsu SPARC64 V, FAQ or common problems, i.e. places that are most often searched by users in each manual
2. Contents - index of all tips concerning the Fujitsu Fujitsu SPARC64 V, that we can find in the current document
3. Tips how to use the basic functions of the device Fujitsu Fujitsu SPARC64 V - which should help us in our first steps of using Fujitsu Fujitsu SPARC64 V
4. Troubleshooting - systematic sequence of activities that will help us diagnose and subsequently solve the most important problems with Fujitsu Fujitsu SPARC64 V
5. FAQ - Frequently Asked Questions
6. Contact detailsInformation about where to look for contact to the manufacturer/service of Fujitsu Fujitsu SPARC64 V in a specific country, if it was not possible to solve the problem on our own.

Do you have a question concerning Fujitsu Fujitsu SPARC64 V?

Use the form below

If you did not solve your problem by using a manual Fujitsu Fujitsu SPARC64 V, ask a question using the form below. If a user had a similar problem with Fujitsu Fujitsu SPARC64 V it is likely that he will want to share the way to solve it.

Copy the text from the picture

Comments (0)